Skip to content

Commit

Permalink
Updates for new version 20231027 orography fixed files (#881)
Browse files Browse the repository at this point in the history
Update "./fix/link_fixdirs.sh" to point to the new 20231027 "orog" directory.

Update "global_cycle" regression tests to point to a local copy of the required
"orog" files. 

Update "global_cycle" scripts to use either form of the 'orog' files - i.e.,
C96 or C96mx100.

Update "chgres_cube" to read either form of the surface climatological files,
i.e., C96 or C96.mx100.

Update the "gdas_init" scripts to use the 20231027 'orog' files.

Update "./ush/chgres_cube.sh" and "./reg_tests/update_baseline.sh" for the 
new fixed surface directory name (is now ./sfc.  previously ./fix_sfc). Update 
chgres_cube regression tests accordingly.

Fixes #867.
  • Loading branch information
GeorgeGayno-NOAA authored Dec 22, 2023
1 parent 9bba725 commit ce385ce
Show file tree
Hide file tree
Showing 31 changed files with 95 additions and 66 deletions.
4 changes: 2 additions & 2 deletions driver_scripts/driver_grid.hera.sh
Original file line number Diff line number Diff line change
Expand Up @@ -115,7 +115,7 @@ if [ $gtype = uniform ]; then
export add_lake=true # Add lake frac and depth to orography data.
export lake_cutoff=0.50 # return 0 if lake_frac < lake_cutoff & add_lake=T
export binary_lake=1 # return 1 if lake_frac >= lake_cutoff & add_lake=T
export ocn=${ocn:-"025"} # use one of "025", "050", "100", "500". Cannot be empty
export ocn=${ocn:-"100"} # use one of "025", "050", "100", "500". Cannot be empty
elif [ $gtype = stretch ]; then
export res=96
export stretch_fac=1.5 # Stretching factor for the grid
Expand Down Expand Up @@ -159,7 +159,7 @@ fi

export home_dir=$SLURM_SUBMIT_DIR/..
export TEMP_DIR=/scratch2/NCEPDEV/stmp1/$LOGNAME/fv3_grid.$gtype
export out_dir=/scratch2/NCEPDEV/stmp1/$LOGNAME/my_grids_ocean_fixed/
export out_dir=/scratch2/NCEPDEV/stmp1/$LOGNAME/my_grids

#-----------------------------------------------------------------------
# Should not need to change anything below here.
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c192.fv3.history.sh
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ rm -fr $DATA

export CRES=192
export FIXfv3=${HOMEreg}/fix/C192
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/fv3.history

# Pay attention to the quotes. Dont start/end with double quote.
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c192.gfs.grib2.sh
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ rm -fr $DATA

export CRES=192
export FIXfv3=${HOMEreg}/fix/C192
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/gfs.grib2

export GRIB2_FILE_INPUT=gfs.t00z.pgrb2.0p50.f000
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.fv3.nemsio.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ export DATA=$OUTDIR/c96_fv3_nemsio
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/fv3.nemsio
export ATM_FILES_INPUT=gfs.t12z.atmf000.nemsio
export SFC_FILES_INPUT=gfs.t12z.sfcf000.nemsio
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.fv3.netcdf.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ export DATA=$OUTDIR/c96_fv3_netcdf
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/fv3.netcdf
export ATM_FILES_INPUT=gfs.t00z.atmf000.nc
export SFC_FILES_INPUT=gfs.t00z.sfcf000.nc
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.fv3.restart.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ export DATA=$OUTDIR/c96_fv3_restart
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/fv3.restart
export VCOORD_FILE=${HOMEufs}/fix/am/global_hyblev.l64.txt
export INPUT_TYPE='restart'
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.gefs.grib2.sh
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@ rm -fr $DATA

export CRES=96
export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/gefs.grib2

export GRIB2_FILE_INPUT=gec00.t06z.pgrb2abf00
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.gfs.nemsio.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ export DATA=$OUTDIR/c96_gfs_nemsio
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/gfs.nemsio
export ATM_FILES_INPUT=gfnanl.gdas.2017071700
export SFC_FILES_INPUT=sfnanl.gdas.2017071700
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.gfs.sigio.sh
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ export DATA=$OUTDIR/c96_gfs_sigio
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96
export FIXsfc=${FIXfv3}/fix_sfc
export COMIN=${HOMEreg}/input_data/gfs.sigio
export ATM_FILES_INPUT=gdas.t00z.sanl
export SFC_FILES_INPUT=gdas.t00z.sfcanl
Expand Down
1 change: 1 addition & 0 deletions reg_tests/chgres_cube/c96.regional.sh
Original file line number Diff line number Diff line change
Expand Up @@ -15,6 +15,7 @@ export DATA=$OUTDIR/c96_regional
rm -fr $DATA

export FIXfv3=${HOMEreg}/fix/C96.regional
export FIXsfc=${FIXfv3}/fix_sfc
export OROG_FILES_TARGET_GRID="C96_oro_data.tile7.nc"
export COMIN=${HOMEreg}/input_data/fv3.nemsio
export ATM_FILES_INPUT=gfs.t12z.atmf000.nemsio
Expand Down
6 changes: 4 additions & 2 deletions reg_tests/chgres_cube/driver.hercules.sh
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,9 @@
# Set WORK_DIR to a general working location outside the UFS_UTILS directory.
# The exact working directory (OUTDIR) will be WORK_DIR/reg_tests/chgres-cube.
# Set the PROJECT_CODE and QUEUE as appropriate. To see which projects you
# are authorized to use, type "saccount_params".
# are authorized to use, type:
#
# $ sacctmgr show associations where user-$USER format=account%20,qos%50.
#
# Invoke the script with no arguments. A series of daily-chained
# consistency tests will be submitted. To check the queue, type:
Expand Down Expand Up @@ -35,7 +37,7 @@ ulimit -s unlimited
export OUTDIR="${WORK_DIR:-/work/noaa/stmp/$LOGNAME}"
export OUTDIR="${OUTDIR}/reg-tests/chgres-cube"

PROJECT_CODE="${PROJECT_CODE:-nesdis-rdo2}"
PROJECT_CODE="${PROJECT_CODE:-fv3-cpu}"
QUEUE="${QUEUE:-batch}"

#-----------------------------------------------------------------------------
Expand Down
2 changes: 2 additions & 0 deletions reg_tests/global_cycle/C192.lndincsoilnoahmp.sh
Original file line number Diff line number Diff line change
Expand Up @@ -35,6 +35,8 @@ export LATB=1536

export FIXgsm=$BASE_GSM/fix/am

export FIXfv3=$HOMEreg/fix

export DONST="NO"
export use_ufo=.true.

Expand Down
2 changes: 2 additions & 0 deletions reg_tests/global_cycle/C768.fv3gfs.sh
Original file line number Diff line number Diff line change
Expand Up @@ -35,6 +35,8 @@ export LATB=1536
export FIXgsm=$BASE_GSM/fix/am
export FNAISC=$FIXgsm/CFSR.SEAICE.1982.2012.monthly.clim.grb

export FIXfv3=$HOMEreg/fix

export DONST="YES"
export use_ufo=.true.

Expand Down
2 changes: 2 additions & 0 deletions reg_tests/global_cycle/C768.lndincsnow.sh
Original file line number Diff line number Diff line change
Expand Up @@ -36,6 +36,8 @@ export LATB=1536

export FIXgsm=$BASE_GSM/fix/am

export FIXfv3=$HOMEreg/fix

export DONST="NO"
export use_ufo=.true.

Expand Down
3 changes: 2 additions & 1 deletion reg_tests/grid_gen/driver.hercules.sh
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,8 @@
#
# Invoke the script with no arguments. A set of tests will
# be submitted to run in parallel. To check the queue, type:
# "squeue -u $LOGNAME".
#
# $ sacctmgr show associations where user=$USER format=account%20,qos%50.
#
# Log output from each test will be placed in its own LOG_FILE.
# Once the suite has completed, a summary is placed in SUM_FILE.
Expand Down
2 changes: 1 addition & 1 deletion reg_tests/grid_gen/driver.orion.sh
Original file line number Diff line number Diff line change
Expand Up @@ -70,7 +70,7 @@ TEST1=$(sbatch --parsable --ntasks-per-node=24 --nodes=1 -t 0:15:00 -A $PROJECT_
#-----------------------------------------------------------------------------

LOG_FILE2=${LOG_FILE}02
TEST2=$(sbatch --parsable --ntasks-per-node=15 --nodes=2 -t 0:15:00 -A $PROJECT_CODE -q $QUEUE -J c96.viirs.bnu \
TEST2=$(sbatch --parsable --ntasks-per-node=15 --nodes=2 -t 0:20:00 -A $PROJECT_CODE -q $QUEUE -J c96.viirs.bnu \
-o $LOG_FILE2 -e $LOG_FILE2 ./c96.viirs.bnu.sh)

#-----------------------------------------------------------------------------
Expand Down
16 changes: 8 additions & 8 deletions reg_tests/update_baseline.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,8 +13,8 @@ chmod 755 $base_dir

if [ -d $base_dir_commit ];then
chmod 777 $base_dir_commit
if [ -d $base_dir_commit/fix_sfc ]; then
chmod 777 $base_dir_commit/fix_sfc
if [ -d $base_dir_commit/sfc ]; then
chmod 777 $base_dir_commit/sfc
fi
rm -fr $base_dir_commit
fi
Expand All @@ -32,17 +32,17 @@ done
# The grid_gen tests have a subdirectory for
# the surface climo fields.

if [ -d ./fix_sfc ]; then
mkdir -p $base_dir_commit/fix_sfc
cd fix_sfc
if [ -d ./sfc ]; then
mkdir -p $base_dir_commit/sfc
cd sfc
for files in *.nc
do
if [ -f $files ]; then
cp $files $base_dir_commit/fix_sfc
chmod 444 $base_dir_commit/fix_sfc/$files
cp $files $base_dir_commit/sfc
chmod 444 $base_dir_commit/sfc/$files
fi
done
chmod 555 $base_dir_commit/fix_sfc
chmod 555 $base_dir_commit/sfc
fi

chmod 555 $base_dir_commit
Expand Down
14 changes: 7 additions & 7 deletions sorc/chgres_cube.fd/program_setup.F90
Original file line number Diff line number Diff line change
Expand Up @@ -38,7 +38,7 @@ module program_setup
character(len=500), public :: sfc_files_input_grid(6) = "NULL" !< File names containing input surface data. Not used for 'grib2' input type.
character(len=500), public :: vcoord_file_target_grid = "NULL" !< Vertical coordinate definition file.
character(len=500), public :: thomp_mp_climo_file= "NULL" !< Path/name to the Thompson MP climatology file.
character(len=6), public :: cres_target_grid = "NULL" !< Target grid resolution, i.e., C768.
character(len=15), public :: cres_target_grid = "NULL" !< Target grid resolution, i.e., C768.
character(len=500), public :: atm_weight_file="NULL" !< File containing pre-computed weights to horizontally interpolate atmospheric fields.
character(len=25), public :: input_type="restart" !< Input data type:
!! - "restart" for fv3 tiled warm restart
Expand Down Expand Up @@ -215,17 +215,17 @@ subroutine read_setup_namelist(filename)
orog_dir_input_grid = trim(orog_dir_input_grid) // '/'

!-------------------------------------------------------------------------
! Determine CRES of target grid from the name of the mosaic file.
! Determine CRES of target grid from the name of the orography file.
!-------------------------------------------------------------------------

is = index(mosaic_file_target_grid, "/", .true.)
ie = index(mosaic_file_target_grid, "mosaic") - 1
is = 1
ie = index(orog_files_target_grid(1), "_oro_") - 1

if (is == 0 .or. ie == 0) then
call error_handler("CANT DETERMINE CRES FROM MOSAIC FILE.", 1)
if (ie == 0) then
call error_handler("CANT DETERMINE CRES FROM OROG FILE.", 1)
endif

cres_target_grid = mosaic_file_target_grid(is+1:ie-1)
cres_target_grid = orog_files_target_grid(1)(is:ie)

if (.not. convert_sfc .and. .not. convert_atm) then
call error_handler("MUST CONVERT EITHER AN ATM OR SFC FILE.", 1)
Expand Down
21 changes: 17 additions & 4 deletions ush/chgres_cube.sh
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,13 @@ set -eux

CRES=${CRES:-96}

#----------------------------------------------------------------------------
# Resolution of ocean grid. When declared, use the orography files
# for coupled model runs. Choices are: 025, 050, 100 or 500.
#----------------------------------------------------------------------------

ocn=${ocn:-""}

#----------------------------------------------------------------------------
# Set up environment paths.
#
Expand All @@ -34,7 +41,7 @@ HOMEufs=${HOMEufs:-${NWROOT}/ufs_util.${ufs_ver}}
EXECufs=${EXECufs:-$HOMEufs/exec}
FIXufs=${FIXufs:-$HOMEufs/fix}
FIXfv3=${FIXfv3:-$FIXufs/orog/C${CRES}}
FIXsfc=${FIXsfc:-$FIXfv3/fix_sfc}
FIXsfc=${FIXsfc:-$FIXfv3/sfc}
FIXam=${FIXam:-$FIXufs/am}

#----------------------------------------------------------------------------
Expand Down Expand Up @@ -175,9 +182,15 @@ MOSAIC_FILE_TARGET_GRID=${MOSAIC_FILE_TARGET_GRID:-${FIXfv3}/C${CRES}_mosaic.nc}

OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID:-NULL}
if [ $OROG_FILES_TARGET_GRID == NULL ]; then
OROG_FILES_TARGET_GRID='C'${CRES}'_oro_data.tile1.nc","C'${CRES}'_oro_data.tile2.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}'_oro_data.tile3.nc","C'${CRES}'_oro_data.tile4.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}'_oro_data.tile5.nc","C'${CRES}'_oro_data.tile6.nc'
if [ -z "${ocn}" ];then
OROG_FILES_TARGET_GRID='C'${CRES}'_oro_data.tile1.nc","C'${CRES}'_oro_data.tile2.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}'_oro_data.tile3.nc","C'${CRES}'_oro_data.tile4.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}'_oro_data.tile5.nc","C'${CRES}'_oro_data.tile6.nc'
else
OROG_FILES_TARGET_GRID='C'${CRES}.mx${ocn}'_oro_data.tile1.nc","C'${CRES}.mx${ocn}'_oro_data.tile2.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}.mx${ocn}'_oro_data.tile3.nc","C'${CRES}.mx${ocn}'_oro_data.tile4.nc"'
OROG_FILES_TARGET_GRID=${OROG_FILES_TARGET_GRID}',"C'${CRES}.mx${ocn}'_oro_data.tile5.nc","C'${CRES}.mx${ocn}'_oro_data.tile6.nc'
fi
fi

THOMPSON_AEROSOL_FILE=${THOMPSON_AEROSOL_FILE:-NULL}
Expand Down
3 changes: 0 additions & 3 deletions ush/global_cycle.sh
Original file line number Diff line number Diff line change
Expand Up @@ -33,8 +33,6 @@
# Defaults to fix/am.
# FIXam Directory for the global fixed climatology files.
# Defaults to $HOMEgfs/fix/am
# FIXfv3 Directory for the model grid and orography netcdf
# files. Defaults to $HOMEgfs/fix/orog/${CASE}
# EXECgfs Directory of the program executable. Defaults to
# $HOMEgfs/exec
# DATA Working directory
Expand Down Expand Up @@ -234,7 +232,6 @@ gfs_ver=${gfs_ver:-v15.0.0}
BASEDIR=${BASEDIR:-${NWROOT:-/nwprod2}}
HOMEgfs=${HOMEgfs:-$BASEDIR/gfs_ver.${gfs_ver}}
EXECgfs=${EXECgfs:-$HOMEgfs/exec}
FIXfv3=${FIXfv3:-$HOMEgfs/fix/orog/$CASE}
FIXam=${FIXam:-$HOMEgfs/fix/am}
DATA=${DATA:-$(pwd)}
COMIN=${COMIN:-$(pwd)}
Expand Down
7 changes: 6 additions & 1 deletion ush/global_cycle_driver.sh
Original file line number Diff line number Diff line change
Expand Up @@ -9,6 +9,7 @@ set -eux
#-------------------------------------------------------------------------------------------------

export CASE=${CASE:-C768} # resolution of tile: 48, 96, 192, 384, 768, 1152, 3072
ocn=${ocn:-""} # ocean grid resolution: 025, 050, 100 or 500.
export CDATE=${CDATE:-${cdate:-2017031900}} # format yyyymmddhh yyyymmddhh ...
export CDUMP=${CDUMP:-gfs} # gfs or gdas
export COMPONENT=${COMPONENT:-atmos}
Expand Down Expand Up @@ -80,7 +81,11 @@ for n in $(seq 1 $ntiles); do
ln -fs $COMOUT/$PDY.${cyc}0000.sfcanl_data.tile${n}.nc $DATA/fnbgso.00$n

ln -fs $FIXfv3/C${CRES}/C${CRES}_grid.tile${n}.nc $DATA/fngrid.00$n
ln -fs $FIXfv3/C${CRES}/C${CRES}_oro_data.tile${n}.nc $DATA/fnorog.00$n
if [ -z "${ocn}" ];then
ln -fs $FIXfv3/C${CRES}/C${CRES}_oro_data.tile${n}.nc $DATA/fnorog.00$n
else
ln -fs $FIXfv3/C${CRES}/C${CRES}.mx${ocn}_oro_data.tile${n}.nc $DATA/fnorog.00$n
fi
if [[ "$DO_SNO_INC" == ".true." ]] ; then
ln -fs $COMIN/$PDY.${cyc}0000.xainc.tile${n}.nc $DATA/xainc.00$n
fi
Expand Down
14 changes: 7 additions & 7 deletions ush/sfc_climo_gen.sh
Original file line number Diff line number Diff line change
Expand Up @@ -21,6 +21,8 @@
# for regional grid.
# mosaic_file Path/name of mosaic file.
# res Resolution of cubed-sphere grid
# ocn Resolution of ocean grid. When declared,
# use the 'orog' files for the coupled model.
# SAVE_DIR Directory where output is saved
# WORK_DIR Temporary working directory
# SOIL_TYPE_FILE Path/name of input soil type data.
Expand Down Expand Up @@ -49,8 +51,6 @@ VEG_TYPE_FILE=${VEG_TYPE_FILE:-${input_sfc_climo_dir}/vegetation_type.${veg_type
soil_type_src=${soil_type_src:-"statsgo.0.05"}
SOIL_TYPE_FILE=${SOIL_TYPE_FILE:-${input_sfc_climo_dir}/soil_type.${soil_type_src}.nc}



if [ ! -d $SAVE_DIR ]; then
mkdir -p $SAVE_DIR
fi
Expand All @@ -66,11 +66,11 @@ cd $WORK_DIR
if [[ $GRIDTYPE == "nest" ]] || [[ $GRIDTYPE == "regional" ]] ; then
the_orog_files='"C'${res}'_oro_data.tile7.nc"'
else
if declare -p ocn &>/dev/null;then
the_orog_files='"C'${res}.mx${ocn}'_oro_data.tile1.nc","C'${res}.mx${ocn}'_oro_data.tile2.nc","C'${res}.mx${ocn}'_oro_data.tile3.nc","C'${res}.mx${ocn}'_oro_data.tile4.nc","C'${res}.mx${ocn}'_oro_data.tile5.nc","C'${res}.mx${ocn}'_oro_data.tile6.nc"'
else
the_orog_files='"C'${res}'_oro_data.tile1.nc","C'${res}'_oro_data.tile2.nc","C'${res}'_oro_data.tile3.nc","C'${res}'_oro_data.tile4.nc","C'${res}'_oro_data.tile5.nc","C'${res}'_oro_data.tile6.nc"'
fi
if declare -p ocn &>/dev/null;then
the_orog_files='"C'${res}.mx${ocn}'_oro_data.tile1.nc","C'${res}.mx${ocn}'_oro_data.tile2.nc","C'${res}.mx${ocn}'_oro_data.tile3.nc","C'${res}.mx${ocn}'_oro_data.tile4.nc","C'${res}.mx${ocn}'_oro_data.tile5.nc","C'${res}.mx${ocn}'_oro_data.tile6.nc"'
else
the_orog_files='"C'${res}'_oro_data.tile1.nc","C'${res}'_oro_data.tile2.nc","C'${res}'_oro_data.tile3.nc","C'${res}'_oro_data.tile4.nc","C'${res}'_oro_data.tile5.nc","C'${res}'_oro_data.tile6.nc"'
fi
fi

cat << EOF > ./fort.41
Expand Down
8 changes: 1 addition & 7 deletions util/gdas_init/config
Original file line number Diff line number Diff line change
Expand Up @@ -44,10 +44,6 @@
# or incomplete. So this option may not
# always work. Contact [email protected]
# if you encounter problems.
# FRAC_ORO - To use the latest orographic data,
# set to 'yes', otherwise, set to 'no'.
# Note that: the latest orographic data
# support both frac_grid and none frac_grid in the UFS
#
#-----------------------------------------------------------

Expand All @@ -67,8 +63,6 @@ LEVS=65

CDUMP=gdas

FRAC_ORO=yes

CRES_HIRES=C192
CRES_ENKF=C96

Expand Down Expand Up @@ -116,5 +110,5 @@ else

fi

export EXTRACT_DIR yy mm dd hh UFS_DIR OUTDIR CRES_HIRES CRES_ENKF FRAC_ORO
export EXTRACT_DIR yy mm dd hh UFS_DIR OUTDIR CRES_HIRES CRES_ENKF
export LEVS gfs_ver EXEC_DIR GDAS_INIT_DIR
2 changes: 1 addition & 1 deletion util/gdas_init/run_pre-v14.chgres.sh
Original file line number Diff line number Diff line change
Expand Up @@ -41,7 +41,7 @@ source $GDAS_INIT_DIR/set_fixed_files.sh
cat << EOF > fort.41
&config
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/fix_sfc"
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/sfc"
mosaic_file_target_grid="${FIX_ORO}/${ORO_DIR}/${CTAR}_mosaic.nc"
orog_dir_target_grid="${FIX_ORO}/${ORO_DIR}"
orog_files_target_grid="${ORO_NAME}.tile1.nc","${ORO_NAME}.tile2.nc","${ORO_NAME}.tile3.nc","${ORO_NAME}.tile4.nc","${ORO_NAME}.tile5.nc","${ORO_NAME}.tile6.nc"
Expand Down
2 changes: 1 addition & 1 deletion util/gdas_init/run_v14.chgres.sh
Original file line number Diff line number Diff line change
Expand Up @@ -37,7 +37,7 @@ source $GDAS_INIT_DIR/set_fixed_files.sh
cat << EOF > fort.41
&config
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/fix_sfc"
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/sfc"
mosaic_file_target_grid="${FIX_ORO}/${ORO_DIR}/${CTAR}_mosaic.nc"
orog_dir_target_grid="${FIX_ORO}/${ORO_DIR}"
orog_files_target_grid="${ORO_NAME}.tile1.nc","${ORO_NAME}.tile2.nc","${ORO_NAME}.tile3.nc","${ORO_NAME}.tile4.nc","${ORO_NAME}.tile5.nc","${ORO_NAME}.tile6.nc"
Expand Down
2 changes: 1 addition & 1 deletion util/gdas_init/run_v15.chgres.gfs.sh
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,7 @@ source $GDAS_INIT_DIR/set_fixed_files.sh
cat << EOF > fort.41
&config
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/fix_sfc"
fix_dir_target_grid="${FIX_ORO}/${ORO_DIR}/sfc"
mosaic_file_target_grid="${FIX_ORO}/${ORO_DIR}/${CTAR}_mosaic.nc"
orog_dir_target_grid="${FIX_ORO}/${ORO_DIR}"
orog_files_target_grid="${ORO_NAME}.tile1.nc","${ORO_NAME}.tile2.nc","${ORO_NAME}.tile3.nc","${ORO_NAME}.tile4.nc","${ORO_NAME}.tile5.nc","${ORO_NAME}.tile6.nc"
Expand Down
Loading

0 comments on commit ce385ce

Please sign in to comment.