You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
When compiling the following files I get an unexpected value in the generic constant GC_SLV
nvc_bug_pkg.vhd :
library ieee;
use ieee.std_logic_1164.all;
package nvc_bug_pkg is
constant C_SLV_SIZE : integer := 16;
end package nvc_bug_pkg;
nvc_bug.vhd :
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.nvc_bug_pkg.all;
entity nvc_bug is
generic (
GC_SLV : std_logic_vector(C_SLV_SIZE-1 downto 0) := x"8008"
);
end entity nvc_bug;
architecture test of nvc_bug is
begin
p_nvc_bug : process
begin
assert GC_SLV(C_SLV_SIZE-1 downto 0) = x"8008" report "Mismatch. Expected 8008, got " & to_hstring(GC_SLV(C_SLV_SIZE-1 downto 0)) severity failure;
report "Test succeeded";
wait;
end process p_nvc_bug;
end architecture test;
I invoke nvc with this command:
nvc -a nvc_bug_pkg.vhd nvc_bug.vhd -e nvc_bug -r nvc_bug
I'm running withe the current latest version on master (2e5ad89)
The text was updated successfully, but these errors were encountered:
When compiling the following files I get an unexpected value in the generic constant
GC_SLV
nvc_bug_pkg.vhd :
nvc_bug.vhd :
I invoke nvc with this command:
I'm running withe the current latest version on master (2e5ad89)
The text was updated successfully, but these errors were encountered: