Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

** Fatal: sorry, attribute LAST_ACTIVE not implemented #423

Closed
avelure opened this issue Oct 4, 2021 · 2 comments
Closed

** Fatal: sorry, attribute LAST_ACTIVE not implemented #423

avelure opened this issue Oct 4, 2021 · 2 comments

Comments

@avelure
Copy link

avelure commented Oct 4, 2021

I ran into this issue when analyzing some vendor libraries
Here is an MWE

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end entity test;
architecture rtl of test is
  signal sig : std_logic := '0';
begin

  process
  begin
    sig <= '0' after 1 ps;
    wait for 3 ps;
    assert sig'last_active = 3 ps - 1 ps report "Signal should have been active" severity error;
    wait;
  end process;
end architecture rtl;

While looking around the source I also noticed the attributes 'driving_value and 'driving are missing as well, though I don't have a need for their functionality at the moment. I could add separate issues and MWEs for them if preferred,

@nickg
Copy link
Owner

nickg commented Oct 5, 2021

Thanks for reporting this. I've added 'last_active as well as 'driving and 'driving_value.

@avelure
Copy link
Author

avelure commented Oct 5, 2021

Thanks for the quick work!
I'll continue to try to get my project runnable with nvc.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants