You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
I ran into this issue when analyzing some vendor libraries
Here is an MWE
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entitytestisendentitytest;
architecturertloftestissignal sig : std_logic:='0';
beginprocessbegin
sig <='0'after1ps;
waitfor3ps;
assert sig'last_active =3ps-1psreport"Signal should have been active"severityerror;
wait;
endprocess;
endarchitecturertl;
While looking around the source I also noticed the attributes 'driving_value and 'driving are missing as well, though I don't have a need for their functionality at the moment. I could add separate issues and MWEs for them if preferred,
The text was updated successfully, but these errors were encountered:
I ran into this issue when analyzing some vendor libraries
Here is an MWE
While looking around the source I also noticed the attributes
'driving_value
and'driving
are missing as well, though I don't have a need for their functionality at the moment. I could add separate issues and MWEs for them if preferred,The text was updated successfully, but these errors were encountered: