You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entityfooisendentity;
architecturearchoffooisbeginprocessisprocedure xyzzy( v : inoutunsigned ) isbegin
v := v +1;
endprocedure;
variable x : std_ulogic_vector( 7downto0 );
begin-- trying to do an inout conversion triggers the bug:
xyzzy( std_ulogic_vector( v ) =>unsigned( x ) );
wait;
endprocess;
endarchitecture;
$ /opt/ghdl_mcode/bin/ghdl -a --std=08 -fpsl 6e4126f178464d32a6f13c49c56d2bf3ea6bb7c7f4b9ef03a2aedddcc7694bc5
6e4126f178464d32a6f13c49c56d2bf3ea6bb7c7f4b9ef03a2aedddcc7694bc5:16:46:error: type of actual conversion must be fully constrained
xyzzy( std_ulogic_vector( v ) => unsigned( x ) );
^
6e4126f178464d32a6f13c49c56d2bf3ea6bb7c7f4b9ef03a2aedddcc7694bc5:16:29:error: type of formal conversion must be fully constrained
xyzzy( std_ulogic_vector( v ) => unsigned( x ) );
^
The text was updated successfully, but these errors were encountered:
This MWE is from here
https://github.com/ghdl/ghdl/tree/master/testsuite/gna/ticket97
https://sourceforge.net/p/ghdl-updates/tickets/97/
The text was updated successfully, but these errors were encountered: