Skip to content

Commit

Permalink
Add semicolon in public_inputs, trace_columns, periodic_columns & ran…
Browse files Browse the repository at this point in the history
…dom_values
  • Loading branch information
sydhds committed Aug 7, 2024
1 parent 70031bc commit 1993fd3
Show file tree
Hide file tree
Showing 57 changed files with 485 additions and 485 deletions.
8 changes: 4 additions & 4 deletions air-script/tests/aux_trace/aux_trace.air
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
def AuxiliaryAir

trace_columns {
main: [a, b, c]
aux: [p0, p1]
main: [a, b, c];
aux: [p0, p1];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

random_values {
rand: [2]
rand: [2];
}

boundary_constraints {
Expand Down
4 changes: 2 additions & 2 deletions air-script/tests/binary/binary.air
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
def BinaryAir

trace_columns {
main: [a, b]
main: [a, b];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
8 changes: 4 additions & 4 deletions air-script/tests/bitwise/bitwise.air
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
def BitwiseAir

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

trace_columns {
main: [s, a, b, a0, a1, a2, a3, b0, b1, b2, b3, zp, z, dummy]
main: [s, a, b, a0, a1, a2, a3, b0, b1, b2, b3, zp, z, dummy];
}

periodic_columns {
k0: [1, 0, 0, 0, 0, 0, 0, 0]
k1: [1, 1, 1, 1, 1, 1, 1, 0]
k0: [1, 0, 0, 0, 0, 0, 0, 0];
k1: [1, 1, 1, 1, 1, 1, 1, 0];
}

boundary_constraints {
Expand Down
12 changes: 6 additions & 6 deletions air-script/tests/constants/constants.air
Original file line number Diff line number Diff line change
Expand Up @@ -5,15 +5,15 @@ const B = [0, 1];
const C = [[1, 2], [2, 0]];

trace_columns {
main: [a, b, c, d]
aux: [e, f, g]
main: [a, b, c, d];
aux: [e, f, g];
}

public_inputs {
program_hash: [4]
stack_inputs: [4]
stack_outputs: [20]
overflow_addrs: [4]
program_hash: [4];
stack_inputs: [4];
stack_outputs: [20];
overflow_addrs: [4];
}

boundary_constraints {
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -5,12 +5,12 @@ ev are_equal([], [x, y]) {
}

trace_columns {
main: [clk, fmp[2], ctx]
aux: [a, b, c[4], d[4]]
main: [clk, fmp[2], ctx];
aux: [a, b, c[4], d[4]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
def ConstraintComprehensionAir

trace_columns {
main: [clk, fmp[2], ctx]
aux: [a, b, c[4], d[4]]
main: [clk, fmp[2], ctx];
aux: [a, b, c[4], d[4]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
4 changes: 2 additions & 2 deletions air-script/tests/evaluators/evaluators.air
Original file line number Diff line number Diff line change
Expand Up @@ -15,11 +15,11 @@ ev are_all_binary([c[3]]) {
}

trace_columns {
main: [b, c[3], d[3]]
main: [b, c[3], d[3]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
def TraceAccessAir

trace_columns {
main: [a, b]
aux: [c, d]
main: [a, b];
aux: [c, d];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

integrity_constraints {
Expand Down
6 changes: 3 additions & 3 deletions air-script/tests/list_comprehension/list_comprehension.air
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
def ListComprehensionAir

trace_columns {
main: [clk, fmp[2], ctx]
aux: [a, b[3], c[4], d[4]]
main: [clk, fmp[2], ctx];
aux: [a, b[3], c[4], d[4]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
6 changes: 3 additions & 3 deletions air-script/tests/list_folding/list_folding.air
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
def ListFoldingAir

trace_columns {
main: [clk, fmp[2], ctx]
aux: [a, b[4], c[4], d[4]]
main: [clk, fmp[2], ctx];
aux: [a, b[4], c[4], d[4]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
8 changes: 4 additions & 4 deletions air-script/tests/periodic_columns/periodic_columns.air
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
def PeriodicColumnsAir

trace_columns {
main: [a, b, c]
main: [a, b, c];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

periodic_columns {
k0: [1, 0, 0, 0]
k1: [1, 1, 1, 1, 1, 1, 1, 0]
k0: [1, 0, 0, 0];
k1: [1, 1, 1, 1, 1, 1, 1, 0];
}

boundary_constraints {
Expand Down
10 changes: 5 additions & 5 deletions air-script/tests/pub_inputs/pub_inputs.air
Original file line number Diff line number Diff line change
@@ -1,14 +1,14 @@
def PubInputsAir

trace_columns {
main: [a, b, c, d]
main: [a, b, c, d];
}

public_inputs {
program_hash: [4]
stack_inputs: [4]
stack_outputs: [20]
overflow_addrs: [4]
program_hash: [4];
stack_inputs: [4];
stack_outputs: [20];
overflow_addrs: [4];
}

boundary_constraints {
Expand Down
8 changes: 4 additions & 4 deletions air-script/tests/random_values/random_values_bindings.air
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
def RandomValuesAir

trace_columns {
main: [a, b]
aux: [c, d]
main: [a, b];
aux: [c, d];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

random_values {
alphas: [x, y[14], z]
alphas: [x, y[14], z];
}

boundary_constraints {
Expand Down
8 changes: 4 additions & 4 deletions air-script/tests/random_values/random_values_simple.air
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
def RandomValuesAir

trace_columns {
main: [a, b]
aux: [c, d]
main: [a, b];
aux: [c, d];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

random_values {
rand: [16]
rand: [16];
}

boundary_constraints {
Expand Down
4 changes: 2 additions & 2 deletions air-script/tests/selectors/selectors.air
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
def SelectorsAir

trace_columns {
main: [s[3], clk]
main: [s[3], clk];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
4 changes: 2 additions & 2 deletions air-script/tests/selectors/selectors_with_evaluators.air
Original file line number Diff line number Diff line change
Expand Up @@ -13,11 +13,11 @@ ev next_is_one([clk]) {
}

trace_columns {
main: [s[3], clk]
main: [s[3], clk];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

boundary_constraints {
Expand Down
4 changes: 2 additions & 2 deletions air-script/tests/system/system.air
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
def SystemAir

trace_columns {
main: [clk, fmp, ctx]
main: [clk, fmp, ctx];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

integrity_constraints {
Expand Down
6 changes: 3 additions & 3 deletions air-script/tests/trace_col_groups/trace_col_groups.air
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
def TraceColGroupAir

trace_columns {
main: [clk, fmp[2], ctx]
aux: [a, b, c[3]]
main: [clk, fmp[2], ctx];
aux: [a, b, c[3]];
}

public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}

integrity_constraints {
Expand Down
12 changes: 6 additions & 6 deletions air-script/tests/variables/variables.air
Original file line number Diff line number Diff line change
Expand Up @@ -3,21 +3,21 @@
def VariablesAir

trace_columns {
main: [s, a, b, c]
aux: [p]
main: [s, a, b, c];
aux: [p];
}

public_inputs {
stack_inputs: [16]
stack_outputs: [16]
stack_inputs: [16];
stack_outputs: [16];
}

periodic_columns {
k0: [1, 1, 1, 1, 1, 1, 1, 0]
k0: [1, 1, 1, 1, 1, 1, 1, 0];
}

random_values {
rand: [1]
rand: [1];
}

boundary_constraints {
Expand Down
6 changes: 3 additions & 3 deletions codegen/masm/tests/test_aux.rs
Original file line number Diff line number Diff line change
Expand Up @@ -12,12 +12,12 @@ static SIMPLE_AUX_AIR: &str = "
def SimpleAux
trace_columns {
main: [a]
aux: [b]
main: [a];
aux: [b];
}
public_inputs {
stack_inputs: [16]
stack_inputs: [16];
}
boundary_constraints {
Expand Down
Loading

0 comments on commit 1993fd3

Please sign in to comment.