Skip to content

Commit

Permalink
modules/flashrom: passing optimization for size (-Os)
Browse files Browse the repository at this point in the history
  • Loading branch information
tlaurion committed Apr 13, 2023
1 parent a1ba37d commit 46c142c
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion modules/flashrom
Original file line number Diff line number Diff line change
Expand Up @@ -30,7 +30,7 @@ flashrom_target := \
INSTALL="$(INSTALL)" \
DESTDIR="$(INSTALL)" \
LIBS_BASE="$(INSTALL)" \
CFLAGS="-I$(INSTALL)/include/libusb-1.0 -I$(INSTALL)/include/pci" \
CFLAGS="-Os -I$(INSTALL)/include/libusb-1.0 -I$(INSTALL)/include/pci" \
LDFLAGS="-L$(INSTALL)/lib" \
$(flashrom_cfg) \
$(CROSS_TOOLS) \
Expand Down

0 comments on commit 46c142c

Please sign in to comment.