Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add support for one-way FPGA advertisement pin #22

Merged
merged 8 commits into from
Mar 7, 2024

Commits on Jan 16, 2024

  1. firmware: add support for one-way FPGA advertisement pin

    Apollo firmware will keep the USB switch handed over to the FPGA as
    long as the gateware keeps advertising its usage through the FPGA_ADV
    pin. When these messages stop arriving, Apollo will take over the port.
    If the advertisement resumes, the port will not be handed off to the
    FPGA again until an "honor FPGA_ADV" (0xc2) vendor request arrives.
    mndza committed Jan 16, 2024
    Configuration menu
    Copy the full SHA
    f416266 View commit details
    Browse the repository at this point in the history
  2. apollo_fpga.gateware: add ApolloAdvertiser

    When this is added as a submodule to a design, an advertisement message
    is sent periodically to Apollo. Apollo will take over the port when
    these announcements are interrupted or when the PROGRAM button is
    pressed.
    
    An optional request handler is added: REQUEST_APOLLO_ADV_STOP (0xf0).
    It returns the CONTROL port to Apollo by stopping announcements.
    mndza committed Jan 16, 2024
    Configuration menu
    Copy the full SHA
    0b4b855 View commit details
    Browse the repository at this point in the history
  3. apollo_fpga.cli: FPGA_ADV support, hand off USB port to Apollo if needed

    The host tools can request gateware to hand off the USB port to Apollo
    if the necessary request is available (REQUEST_APOLLO_ADV_STOP).
    mndza committed Jan 16, 2024
    Configuration menu
    Copy the full SHA
    b1e75fb View commit details
    Browse the repository at this point in the history
  4. Configuration menu
    Copy the full SHA
    f68195e View commit details
    Browse the repository at this point in the history

Commits on Feb 16, 2024

  1. Configuration menu
    Copy the full SHA
    3cd4f79 View commit details
    Browse the repository at this point in the history

Commits on Mar 1, 2024

  1. apollo_fpga: refactor ApolloDebugger USB handoff support

    Simplify the control flow and add error messages.
    mndza committed Mar 1, 2024
    Configuration menu
    Copy the full SHA
    2e2b40e View commit details
    Browse the repository at this point in the history
  2. apollo_fpga: add _request_handoff class method to ApolloDebugger

    Requests handoff to Apollo using an existing device handle.
    mndza committed Mar 1, 2024
    Configuration menu
    Copy the full SHA
    a4d0c33 View commit details
    Browse the repository at this point in the history
  3. firmware: Apply suggested style change in fpga_adv_task

    Co-authored-by: Martin Ling <[email protected]>
    mndza and martinling authored Mar 1, 2024
    Configuration menu
    Copy the full SHA
    f411c96 View commit details
    Browse the repository at this point in the history