Skip to content

Issues: efabless/openlane2

The Great Unit Test Tracker
#201 opened Oct 2, 2023 by donn
Open 1
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Verilator doesn't recognize macros
#579 opened Oct 12, 2024 by smunaut
SDC as single source of truth for clock info ⛓️‍💥 breaking change This issue may require a change to OpenLane's API ✨ enhancement New feature or request
#572 opened Oct 7, 2024 by donn 3.0.0
Checking the results problem 🐞 bug Something isn't working
#570 opened Oct 6, 2024 by ripudamank2
openlane2 non going through --smoke-test 🐞 bug Something isn't working 💬 waiting on op This issue requires more information from its original creator
#569 opened Oct 5, 2024 by ctalar
Add missing metrics to library.py ✨ enhancement New feature or request
#567 opened Oct 3, 2024 by kareefardi
Explore integrating DRiLLS for ABC scripts ✨ enhancement New feature or request
#559 opened Sep 23, 2024 by donn
Explore OpenROAD local resynthesis ⛔️ blocked This issue or PR is pending an issue with an underlying utility ✨ enhancement New feature or request
#558 opened Sep 23, 2024 by donn
Support for PDKs without TAP / ENDCAP cells ⛓️‍💥 breaking change This issue may require a change to OpenLane's API
#554 opened Sep 21, 2024 by smunaut 3.0.0
Warning in the PDK LEF files ⛔️ blocked This issue or PR is pending an issue with an underlying utility ✨ enhancement New feature or request
#550 opened Sep 18, 2024 by kareefardi
Separate corner for Synthesis ✨ enhancement New feature or request
#546 opened Sep 5, 2024 by donn 3.0.0
Inject custom Verilog into the flow ⛓️‍💥 breaking change This issue may require a change to OpenLane's API
#543 opened Sep 4, 2024 by mole99
Better antenna repair ✨ enhancement New feature or request
#542 opened Sep 4, 2024 by kareefardi 3.0.0
PL_MAX_DISPLACEMENT_{X,Y} set atrociously high in both OpenLanes ⛓️‍💥 breaking change This issue may require a change to OpenLane's API ✨ enhancement New feature or request
#541 opened Sep 1, 2024 by donn 3.0.0
Move SIGNAL_WIRE_RC_LAYERS, CLOCK_WIRE_RC_LAYERS to the relevant steps ⛓️‍💥 breaking change This issue may require a change to OpenLane's API ✨ enhancement New feature or request
#540 opened Aug 29, 2024 by donn 3.0.0
Point scripts to get VDD_PIN_VOLTAGE from lib file ⛓️‍💥 breaking change This issue may require a change to OpenLane's API ✨ enhancement New feature or request
#539 opened Aug 29, 2024 by donn 3.0.0
Launch tools interactively ✨ enhancement New feature or request
#532 opened Aug 19, 2024 by kareefardi
Add option to stream out GDS with subcut layer ✨ enhancement New feature or request 💬 waiting on op This issue requires more information from its original creator
#531 opened Aug 8, 2024 by kareefardi
Klayout XML for routing DRCs is needed ✨ enhancement New feature or request
#527 opened Jul 31, 2024 by mo-hosni 3.0.0
Add deprecated variables to readtheDocs 📄 documentation Improvements or additions to documentation ✨ enhancement New feature or request
#526 opened Jul 29, 2024 by kareefardi 3.0.0
ProTip! What’s not been updated in a month: updated:<2024-09-20.