darwin-x64 #1
Triggered via workflow run
December 10, 2023 23:20
daniel-sudz
completed
850f147
Status
Success
Total duration
18m 17s
Artifacts
–
darwin-x64.yml
on: workflow_run
darwin-x64-python3
20s
darwin-x64-cadical
10s
darwin-x64-yosys
11s
darwin-x64-ghdl
2m 11s
darwin-x64-picosat
4s
darwin-x64-libpoly
5s
darwin-x64-graphviz
16s
darwin-x64-icestorm
5s
darwin-x64-prjtrellis
5s
darwin-x64-prjoxide
10s
darwin-x64-dfu-util
4s
darwin-x64-ecpprog
8s
darwin-x64-openfpgaloader
3m 7s
darwin-x64-avy
10s
darwin-x64-cvc4
13s
darwin-x64-yices
9s
darwin-x64-z3
13m 39s
darwin-x64-mcy
9s
darwin-x64-sby
9s
darwin-x64-sby-gui
4s
darwin-x64-gtkwave
4s
darwin-x64-verilator
6s
darwin-x64-iverilog
5s
darwin-x64-ecpdap
8s
darwin-x64-fujprog
6s
darwin-x64-iceprogduino
4s
darwin-x64-openocd
2m 19s
darwin-x64-icesprog
5s
darwin-x64-utils
5s
darwin-x64-system-resources
9s
darwin-x64-xdot
5s
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-ice40
19s
darwin-x64-nextpnr-ecp5
14s
darwin-x64-nextpnr-machxo2
13s
darwin-x64-nextpnr-nexus
13s
darwin-x64-nextpnr-gowin
7s
darwin-x64-flask
6s
darwin-x64-python-programmers
13s
darwin-x64-pyhdl
7s
darwin-x64-cocotb
2m 38s
darwin-x64-mau
10s
darwin-x64-scy
8s
darwin-x64-default
4m 7s
Annotations
1 error
darwin-x64-openocd
Process completed with exit code 255.
|