Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix Werror: empty argument list #3262

Merged
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
2 changes: 1 addition & 1 deletion src/main/scala/interrupts/Nodes.scala
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ object IntImp extends SimpleNodeImp[IntSourcePortParameters, IntSinkPortParamete

trait IntFormatNode extends BaseNode
{
override def formatNode() = "Interrupt Node\n"
override def formatNode = "Interrupt Node\n"
}

case class IntSourceNode(portParams: Seq[IntSourcePortParameters])(implicit valName: ValName) extends SourceNode(IntImp)(portParams) with IntFormatNode
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/jtag/JtagStateMachine.scala
Original file line number Diff line number Diff line change
Expand Up @@ -70,7 +70,7 @@ object JtagState {
class JtagStateMachine(implicit val p: Parameters) extends Module() {
class StateMachineIO extends Bundle {
val tms = Input(Bool())
val currState = Output(JtagState.State.chiselType)
val currState = Output(JtagState.State.chiselType())
}
val io = IO(new StateMachineIO)

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/jtag/JtagTap.scala
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,7 @@ class JtagTapController(irLength: Int, initialInstruction: BigInt)(implicit val
// JTAG state machine
//

val currState = Wire(JtagState.State.chiselType)
val currState = Wire(JtagState.State.chiselType())

// At this point, the TRSTn should already have been
// combined with any POR, and it should also be
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/subsystem/BaseSubsystem.scala
Original file line number Diff line number Diff line change
Expand Up @@ -31,7 +31,7 @@ abstract class BareSubsystemModuleImp[+L <: BareSubsystem](_outer: L) extends La
ElaborationArtefacts.add("graphml", outer.graphML)
ElaborationArtefacts.add("dts", outer.dts)
ElaborationArtefacts.add("json", outer.json)
ElaborationArtefacts.add("plusArgs", PlusArgArtefacts.serialize_cHeader)
ElaborationArtefacts.add("plusArgs", PlusArgArtefacts.serialize_cHeader())
println(outer.dts)
}

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/Arbiter.scala
Original file line number Diff line number Diff line change
Expand Up @@ -91,7 +91,7 @@ object TLArbiter

// Who wants access to the sink?
val earlyValids = sourcesIn.map(_.earlyValid)
val validQuals = sourcesIn.map(_.validQual)
val validQuals = sourcesIn.map(_.validQual())
// Arbitrate amongst the requests
val readys = VecInit(policy(earlyValids.size, Cat(earlyValids.reverse), latch).asBools)
// Which request wins arbitration?
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/AtomicAutomata.scala
Original file line number Diff line number Diff line change
Expand Up @@ -46,7 +46,7 @@ class TLAtomicAutomata(logical: Boolean = true, arithmetic: Boolean = true, conc

// Managers that need help with atomics must necessarily have this node as the root of a tree in the node graph.
// (But they must also ensure no sideband operations can get between the read and write.)
val violations = managersNeedingHelp.flatMap(_.findTreeViolation).map { node => (node.name, node.inputs.map(_._1.name)) }
val violations = managersNeedingHelp.flatMap(_.findTreeViolation()).map { node => (node.name, node.inputs.map(_._1.name)) }
require(violations.isEmpty,
s"AtomicAutomata can only help nodes for which it is at the root of a diplomatic node tree," +
"but the following violations were found:\n" +
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/tilelink/Buffer.scala
Original file line number Diff line number Diff line change
Expand Up @@ -112,7 +112,7 @@ class TLBufferAndNotCancel(
lazy val module = new Impl
class Impl extends LazyModuleImp(this) {
(node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) =>
out.a <> a(in.a.asDecoupled)
out.a <> a(in.a.asDecoupled())
in .d <> d(out.d)

if (edgeOut.manager.anySupportAcquireB && edgeOut.client.anySupportProbe) {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/unittest/UnitTest.scala
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ trait UnitTestLegacyModule extends HasUnitTestIO {

trait UnitTestModule extends Module with HasUnitTestIO {
val io = IO(new Bundle with UnitTestIO)
ElaborationArtefacts.add("plusArgs", PlusArgArtefacts.serialize_cHeader)
ElaborationArtefacts.add("plusArgs", PlusArgArtefacts.serialize_cHeader())
}

abstract class UnitTest(val timeout: Int = 4096) extends Module with UnitTestLegacyModule {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/ReadyValidCancel.scala
Original file line number Diff line number Diff line change
Expand Up @@ -157,7 +157,7 @@ class ReadyValidCancelRRArbiter[T <: Data](gen: T, n: Int, rr: Boolean) extends
when (io.out.earlyValid) {
assert(selectEnc_q < n.U, "arbiter round-robin select out of range")
}
when (io.in(selectEnc_q).mightFire && io.in.map(i => i.earlyValid && !i.ready).orR) {
when (io.in(selectEnc_q).mightFire() && io.in.map(i => i.earlyValid && !i.ready).orR) {
assert(selectEnc_in =/= selectEnc_q, "arbiter round-robin select did not advance")
}
}
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/package.scala
Original file line number Diff line number Diff line change
Expand Up @@ -68,7 +68,7 @@ package object util {
def ^ (y: Seq[Bool]): Seq[Bool] = padZip(x, y).map { case (a, b) => a ^ b }
def << (n: Int): Seq[Bool] = Seq.fill(n)(false.B) ++ x
def >> (n: Int): Seq[Bool] = x drop n
def unary_~(): Seq[Bool] = x.map(!_)
def unary_~ : Seq[Bool] = x.map(!_)
Copy link
Member

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

oh my god, I hate util in RC.....

def andR: Bool = if (x.isEmpty) true.B else x.reduce(_&&_)
def orR: Bool = if (x.isEmpty) false.B else x.reduce(_||_)
def xorR: Bool = if (x.isEmpty) false.B else x.reduce(_^_)
Expand Down