-
Notifications
You must be signed in to change notification settings - Fork 25
/
sha_mainloop_tb.sv
26 lines (23 loc) · 1 KB
/
sha_mainloop_tb.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
`default_nettype none
`timescale 1 ns / 10 ps
module sha_mainloop_tb;
logic [511:0] padded;
logic clk, rst;
sha_mainloop uut(.padded(padded), .clk(clk), .rst(rst));
initial begin
$dumpfile("sha_mainloop_tb.vcd");
$dumpvars;
assign padded = 512'b01100001011000100110001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000;
assign clk = 0;
assign rst = 1;
#5;
assign clk = 1; #5
assign rst = 0; #5
for(int i=0; i<150; i++) begin
assign clk = ~clk;
#5;
end
$display("FINISHED mainloop_tb");
$finish;
end
endmodule