Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

ColorLight 5A-75B and 5A-75E now are supported by IceStudio #81

Open
benitoss opened this issue Jun 23, 2021 · 0 comments
Open

ColorLight 5A-75B and 5A-75E now are supported by IceStudio #81

benitoss opened this issue Jun 23, 2021 · 0 comments

Comments

@benitoss
Copy link
Contributor

benitoss commented Jun 23, 2021

I have added the support of these boards in IceStudio
IceStudio is a visual editor for open FPGA boards.
You can get more information in my github
In my Github I explain that you can use three different JTAG programmers compatibles with the boards and IceStudio:

  • FT2232H
  • FT232H
  • USB Blaster
    The use of other JTAG programmers are not recommendable; for example the STM32 with DirtyJTAG, it is too slow (more than 50 sg)
@benitoss benitoss changed the title ColorLight 5A-75B and 5A-75E supported by ICeStudio ColorLight 5A-75B and 5A-75E now are supported by IceStudio Jun 23, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant