Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SPI Flash SCLK for 5A-75B V8.0 #79

Open
mikeboers opened this issue Apr 2, 2021 · 1 comment
Open

SPI Flash SCLK for 5A-75B V8.0 #79

mikeboers opened this issue Apr 2, 2021 · 1 comment

Comments

@mikeboers
Copy link

Howdy.

After an investigation, I have concluded that there is no user accessible pin connected to the flash SCLK. Instead, you can use the USRMCLK primitive. This worked for me:

spiclk (
    .USRMCLKI(clock_i),
    .USRMCLKTS(0)
);
@david-sawatzke
Copy link

FYI: Looking at litex-boards, this seems to be the same for v6.1 and v7

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants