From c74afbc09359932c6b6c6096da16d61ba90332a2 Mon Sep 17 00:00:00 2001 From: Olof Kindgren Date: Wed, 9 Oct 2024 10:18:55 +0200 Subject: [PATCH] Fix inverted reset in delta_counter --- src/delta_counter.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/delta_counter.sv b/src/delta_counter.sv index 415bd11c..6cb40536 100644 --- a/src/delta_counter.sv +++ b/src/delta_counter.sv @@ -31,7 +31,7 @@ module delta_counter #( always_ff @(posedge clk_i or negedge rst_ni) begin - if(rst_ni) begin + if(!rst_ni) begin overflow_q <= 1'b0; end else begin overflow_q <= overflow_d;