Skip to content

Commit

Permalink
Remove optimization vsim
Browse files Browse the repository at this point in the history
  • Loading branch information
fhaus1 committed Dec 6, 2024
1 parent b2c03b0 commit 4fd777b
Show file tree
Hide file tree
Showing 8 changed files with 35 additions and 20 deletions.
17 changes: 12 additions & 5 deletions hw/newusb_tb/tb_new_usb_nonperiodiccounter.sv
Original file line number Diff line number Diff line change
Expand Up @@ -16,15 +16,22 @@ module tb_new_usb_nonperiodiccounter #(
// input logic soc_rst_ni,
);

`include "axi/typedef.svh"
`include "common_cells/registers.svh"

logic clk_i;
logic rst_ni;

initial begin


#1000;
$finish;
clk_i = 0;
forever #100 clk_i = ~clk_i;
end

initial begin
rst_ni = 1;
#1000

Check warning on line 31 in hw/newusb_tb/tb_new_usb_nonperiodiccounter.sv

View workflow job for this annotation

GitHub Actions / verible-verilog-lint

[verible-verilog-lint] hw/newusb_tb/tb_new_usb_nonperiodiccounter.sv#L31

Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"hw/newusb_tb/tb_new_usb_nonperiodiccounter.sv" range:{start:{line:31 column:10}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:31 column:10} end:{line:32}} text:"    #1000\n"}
rst_ni = 0;
#20
rst_ni = 1;
end

endmodule
18 changes: 11 additions & 7 deletions target/sim/vsim/recompile.newusb.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,10 +6,14 @@
#
# Recompile only newusb (way faster)

vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_dmaoutputqueueED.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_dmaoutputqueueTD.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_listservice.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_nonperiodiccounter.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_registerchain.sv
vlog -work work -sv ../../../hw/newusb_tb/tb_new_usb_unpackdescriptors.sv
# includes
set common_cells [glob ../../../.bender/git/checkouts/common_cells-*]
set axi [glob ../../../.bender/git/checkouts/axi-*]
set deps +incdir+${common_cells}/include+${axi}/include
echo $deps

# testbenches and sources
set tb [glob ../../../hw/newusb_tb/tb_new_usb*sv]
set hw [glob ../../../hw/newusb/new_usb*sv]

eval vlog -work work ${deps} -sv $tb
2 changes: 1 addition & 1 deletion target/sim/vsim/start.newusb.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

set TESTBENCH tb_new_usb

eval "vsim -c ${TESTBENCH} -t 1ps"
eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1
2 changes: 1 addition & 1 deletion target/sim/vsim/start.newusb_dmaoutputqueueED.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

set TESTBENCH tb_new_usb_dmaoutputqueueED

eval "vsim -c ${TESTBENCH} -t 1ps"
eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1
2 changes: 1 addition & 1 deletion target/sim/vsim/start.newusb_dmaoutputqueueTD.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

set TESTBENCH tb_new_usb_dmaoutputqueueTD

eval "vsim -c ${TESTBENCH} -t 1ps"
eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1
2 changes: 1 addition & 1 deletion target/sim/vsim/start.newusb_listservice.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

set TESTBENCH tb_new_usb_listservice

eval "vsim -c ${TESTBENCH} -t 1ps"
eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1
10 changes: 7 additions & 3 deletions target/sim/vsim/start.newusb_nonperiodiccounter.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,11 @@

set TESTBENCH tb_new_usb_nonperiodiccounter

eval "vsim -c ${TESTBENCH} -t 1ps"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1
set NumericStdNoWarnings 1

eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"
add wave -position insertpoint sim:/tb_new_usb_nonperiodiccounter/clk_i
add wave -position insertpoint sim:/tb_new_usb_nonperiodiccounter/rst_ni

run 1us
2 changes: 1 addition & 1 deletion target/sim/vsim/start.newusb_registerchain.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

set TESTBENCH tb_new_usb_registerchain

eval "vsim -c ${TESTBENCH} -t 1ps"
eval vsim -c ${TESTBENCH} -t 1ps -vopt -voptargs="+acc"

set StdArithNoWarnings 1
set NumericStdNoWarnings 1

0 comments on commit 4fd777b

Please sign in to comment.