We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
The following MRE crashes:
entity foo is port ( rd_data : out bit ); end entity foo; architecture rtl of foo is begin rd_data <= '0'; end architecture; entity bar is end entity bar; architecture tb of bar is signal wrb_data : bit; begin process begin std.env.finish; end process; foo_inst: entity work.foo port map ( rd_data => wrb_data ); end architecture tb;
Seems to be related to the signal assignment out of an instantiated entity together with instantaneously calling finish
finish
crash message:
$ nvc -a test.vhd -e bar -r --wave -g ** Note: writing FST waveform data to bar.fst ** Note: 0ms+0: FINISH called Procedure FINISH [] at ../lib/std.08/env-body.vhd:42 Process :bar:_p0 at test.vhd:21 *** Caught signal 11 (SEGV_MAPERR) [address=0x8, ip=0x64ee516c6fcf] *** [0x64ee5159f5fd] ../src/util.c:872 signal_handler.lto_priv.0 [0x7082f664251f] (/usr/lib/x86_64-linux-gnu/libc.so.6) [0x64ee516c6fcf] ../thirdparty/fstapi.c:1333 fstWriterFlushContextPrivate.lto_priv.0 [0x64ee516c9bd1] ../thirdparty/fstapi.c:1969 fstWriterClose.part.0 [0x64ee5166ac24] ../thirdparty/fstapi.c:172 fstWriterClose [0x64ee5166ac24] ../src/rt/wave.c:139 fst_close.lto_priv.0 [0x64ee5159bb32] ../src/rt/model.c:432 model_run [0x64ee5159bb32] ../src/rt/model.c:847 run_cmd [0x64ee51596e94] ../src/nvc.c:2107 process_command [0x64ee5159a089] ../src/nvc.c:523 elaborate [0x64ee51596de9] ../src/nvc.c:2105 process_command [0x64ee51596698] ../src/nvc.c:1789 cover_report_cmd [0x64ee51596698] ../src/nvc.c:2135 process_command [0x64ee51592da6] ../src/nvc.c:2247 main nvc 1.14-devel (1.13.0.r121.g5842abba) (Using LLVM 14.0.0) [x86_64-pc-linux-gnu] Please report this bug at https://github.com/nickg/nvc/issues
The text was updated successfully, but these errors were encountered:
d0f7b34
Closed the wrong issue.
Sorry, something went wrong.
d7d1406
No branches or pull requests
The following MRE crashes:
Seems to be related to the signal assignment out of an instantiated entity together with instantaneously calling
finish
crash message:
The text was updated successfully, but these errors were encountered: