From adcb3ccf9aa5bffb89a7310ecc2a9f925a5c97fe Mon Sep 17 00:00:00 2001 From: nand2mario <113511414+nand2mario@users.noreply.github.com> Date: Sat, 19 Aug 2023 23:38:53 +0800 Subject: [PATCH] Low-speed game gamepad support (#23) Add support for 2 usb gamepads based on hi631's ukp code. Add doc/usb_gamepad.md. --- doc/images/usb_gamepad1.jpg | Bin 0 -> 225897 bytes doc/images/usb_gamepad2.jpg | Bin 0 -> 177455 bytes doc/usb_gamepad.md | 24 ++ nes.gprj | 3 + src/gowin_rpll_hdmi/gowin_rpll.v | 2 +- src/gowin_rpll_nes/gowin_rpll.v | 2 +- src/gowin_rpll_usb.v | 59 ++++ src/nes_tang20k.v | 130 ++++++--- src/nes_tang20k.vh | 1 + src/nestang.cst | 21 ++ src/nestang.sdc | 5 +- src/usb_gamepad.v | 325 +++++++++++++++++++++ src/usb_gamepad/asukp | 104 +++++++ src/usb_gamepad/ukp.s | 256 +++++++++++++++++ src/usb_gamepad_rom.v | 477 +++++++++++++++++++++++++++++++ tools/crc.py | 57 ++++ 16 files changed, 1426 insertions(+), 40 deletions(-) create mode 100644 doc/images/usb_gamepad1.jpg create mode 100644 doc/images/usb_gamepad2.jpg create mode 100644 doc/usb_gamepad.md create mode 100644 src/gowin_rpll_usb.v create mode 100644 src/usb_gamepad.v create mode 100644 src/usb_gamepad/asukp create mode 100644 src/usb_gamepad/ukp.s create mode 100644 src/usb_gamepad_rom.v create mode 100644 tools/crc.py diff --git a/doc/images/usb_gamepad1.jpg b/doc/images/usb_gamepad1.jpg new file mode 100644 index 0000000000000000000000000000000000000000..24b4f50c16ac031a8a95d713617e4e4d69eaef77 GIT binary patch literal 225897 zcmbTddpy(qA2Nmb%BjK{mr6*;At4N%ulw`8@B4AzkH_z?-|sgM`@{D5Y%ibp`}KOB-v7S( zcLc1Z`S|+)7z_Xy^aK8V1H6E$3JQ%T94k)UO3_7yEP5qbkSbc+y z7M28}73o(8M>569Yn!)^ub)3HBy`8lUAun^i;OyOFgk{HDDl|wq~w%TcIKI^?3}ab zxCMp6qVvTi7es%ROJx<6Rn=E+-m0s=eW#)E?xV*~THD$?I-e?f`~K;F`Ret+yV0@n z_Y;#-(;pTVmz2vZtDnDo{cl|`fcRf!LC^oIu>VJ0I#6A3Bocu{|FNy8Q_+)PFH2z1z6(-_rhPW&iIA%lQ9Q+5ai* z|JF4CG!QW8<{@-|JD9(rHo&4?sg_c(u1mD{$tE&0A6RoMvCYG1x&wv*_L&NfPL4iW zrkX(1(xQ@nq}#a0z_25U9zbI>vsV=UyBAg@ zE7Zw)_YCs9kG)t~aedVr5V07WK~&5L~XAi z5INhr#Ya_H!8s%vo!kDtl8Z#4)P@10Frms^7X{??sA?1ddOf&u7Zv#LgC3 zxmkK=vjq8b6LUnI`8o7R{$Oa1#7zj2CIoJ?Xi+fq+6;|Cmb&%D0y#)IoFv2XxIR7R zt5RlsI(3buHD4KhNKri)KX_as2U6?hLbXvvIKQ~O;2FoElufH1Jwblt3B;4884TE z$9VKL6^D=v+s}&Hk*^C}|D3tcGgR~@mICM2^`_3n+?lcp(eM%CLzqLIY#^!O* zAzikd9r*rB`U8%ogA7np(&@@4Y7-tzWG=Rty3!5!7k$gR0HH(RKc%IKL>)v_u=Z}a zaJnhLQ@f=6NAYT^n3BOIF_UU#d@u3B9}vr z$pwd=GzDX<-SMV&G@oerXsIAfd}fk0rD?wT+tO450cL7q z>3*y?@9C4R0gTUUYENeiw+68R3QRUx(5z2zadY`!)xFKf9cjS!o7D3BxQMMilr__i z5&Rgte2>ox8Mk^oH^IT{lYf4i3DIlr@d((_x$*Q_#T}gSBaX)R;kL8q-UpgaU1dFO zF7O5xX%P?VbnXWK>>?4jHYCZqftwQC)Kp}sdI3EQ>`!O>~k=7c)x@&fBR`W~pl8IjZ)ivZc*%P!oC9K9M5-9+Xu2v)=JF{fq@7e*11#c*9v#w|RsvQ6 z7cxT%Wx$bC7byy7*x>nuuP+oiqZ|yZzmTLQB!je(d9ukz|MBbK8dtq z-eK=&qJfU2yHaMTsvhPDI(|tCW+!XZ?Vv`Tb6s(s`lcv-tclQ0neh}GpH>IjZ`%*S zzI>kbaCZv|_KFa50n@Hef(Uf$lYj?G&~G&TqBKS30t+0YOjCi$eLS}3P+Uhs?sO}D z3)OUluUx;0npWQQ3*5!2tA}vNqcI_;%3Y=lyOU7R{E78?2o##wePVH5w2ho#ZZ$`= z5}bwAMr@I1(BOROgF5j3%VPUM!{EJnobk}TM^amQ21zO3kL9DqxmK!Is-+Y_f5q#8xde}6lrWmdVf)yH!zh| z)Hrcqt-t+0s%B^JE*|=hW+Wzjx09TO6;s8_+9_g?|eAFYywo;EOPi_8;RIUcOqa^6%19 z1ejVYC2b{$^n9t6Y-~q>U2#ir4mmgLsi7OV;e)CKEYQ1mS=RrWt%>_PLJrRh+1|Bb zlDl)d6_~zDDpfk{aQG^37s~Qaj!jcFX*>1Hd^C-;U8ev7YazU5V#cLDDLuh}uNzF_ z*S&w2I!pZ*{ML|E=4UmMY{t=XThj3j7OhWTF0aIZf4)tURzJAf2Lu-e9lc;X%=v{L zp6PF*;T{&!(=SSaR;T&xfy9%|W0H!=|GC|7$b zLgRfCkvi(_8ZYqECcD~mRoznEUP)96R|UM|Z!)(%A;tJ2wOAqO%E&H<1J7*sT!wn1 ze~0dIc>cAQOY569RX+C{H_A3B@cAZVtAqU8yN)gxc&p&i*Gc894c|nOwmQN+?G~JM z9_HT?=?As*`ZE`QKexWR!lcM9ZRwZ07kQ z$+#2hd*CMVRL$_4k&?)u{5fiQZr!VFAMM}{8Jglc1=~kfZHuD^^Z?$=^ceJ{) zz<~U+!9@<&Af;f;#$uLb-UEBQR@v&9pGv*ndZ6EOIEmE1{E>BBPW=y)tI@6cp7YJjXF4KdFaOSgy^kR`ph=YNP1r{ z-@zhH$Z@#zuKKE<^9h3_TImVqaR?w*t>LXoPv7^GEZ$!sY3QPB0JhCs|YGsUsi zvjHHz3v3yxwO{Q*{0NV3bb0a2;%(dIW{?&ND9_em-rjwftEyUPaSrwFvmAciDp21! zYtvzyP_8P9gNy9cYC6#uS-o1h2sIT%qV^#W8ZKr$o_Iq&{3|*`y9SsZ_Is^tmUa*7 z^@};A!56o-)-6jArq^6qSA0GigyIU=>OOzGwx(+B>%rKLz&^{}LZ2O%;t_H4RQ2Xx z(2D%qp4{+gthD*9V1Q%v&$MqyU=OJdSiQd`+G#Fk!qP>IxKxSCezS~EJA74yKb9wwMRkgC7%#S0g&U*v01~ZNoKNy11O_g}^DYE^B zGAuIQ&qoun9*dLzAjYXq3OH>&6tFy1P?w_Ra^bV4wz+tP0-|rJG;rlrnabd+*CS9H6PGTlJ6(TgB ze8a#PDU(C=MGSB>;zjoDnCNjoOzZ_CmZRP?IpQp(oYI4pw!0WO z!lAL2+Q!lvd2FN!mf=EO>YIOJU@*hlnIOCgq2dc?OHf#n9U?tH26hG|2s%!|l;<{o zRoKBV;MU1Bi}WQhdU!f5?UU3x8yWJDcaXCd_kh^d0>5}?a)^$GSg&+N)<%}bVhs$l zQ5?)-{Iu^dMj9>JMgqBF2GOsFrad&lSxX!e?NOG`DTqip@I7=$tgGpaKE44fLBqOZ zTx0e&23l}54t$oO^+Wtp05`sU&u0n9JJ0_cx+@(O6+Rvkg!B1JrM7|AcLcQiJUNIt zob^?q&@0l17I+hDxT5e52C*myshCRy%XHfP8i0wX`PN`ZIeHoK9vvN@HM7 z3;uZ*G0~#L{0{7K4EXc0d?>+5G5KCx(ayEK^$xZTBZ{_^_I-XSfsgw+n6ad{^tuaX0sJOSiciAOSJC0{uDm@l zu#UHzq|112?5O$v)SZCwBT5-elO7I!=^6ueJgPf&F`tDW$!9AHFMrnDH1o5jw;U>C z)SZpq7wCTStHJ5-_w`lu3_(!`@;pp?38b8aBpGaB%m|Z}f4|6U#&AL8&V%v{=PfNg~eN%O`>j z`j3<5{q9}prqC>WRL)M*&!&A-PYX|^sx=?x_vL8wn~hnF_pgl4^(i!^#j%(b3HW|7 zXHQC{Pvc3GYH9xBS=8?3uT1!G>^nJxTy^mmlPs$fV!BmZiOs^obAI$J|J6$Awj9yg*e#i# zwJ{vS;(-&)nX*FwQQSoEV!^JfW2hrncfiZ8gGWiK^?JwWc&hnzkDn@R^^_P7f3ol(^LadlS-l zB-i{~6?!M>TmG7BuC{(N=RKyWswqt0L&5htHQ1|Cf&aHASK`e1+$vaYZ=I`?E| z8SB$dtNWbcj322`l0B&H!>wEVjfJ4UbKl)!@xp-cU-0*`se)k6fKxhp0zz*3%DJ%= z>waN9GoPx{_cw8w)q==Ao-mXO?u6k)6gaXoAzKY zqqkYajywxN95lFWY-xi@(l!T>JWJ~V04P)(T z%J-c{WQhvSm?9;kNw97e4bR&K9F5C#q6c;of>`9~Ky15F46J!_~kipbsvsHb8SR z;(SXx=0(vCv|uKv8(#XsHlWRMD}xQOn%YSbGi{F(*T?XUv2fjhRF3#sV>-f|T#Y2X z=!CwX3Axp*v@LT{%*7r^$AGIzFW#65zYj7k5h#vf!yLSHWc1>T>UI*~EO7s$K_tyR zQEct%=cpxUm?zKBk2cLhe;qL%$@<_W``cmWoXDvb@cGX1J;Pv_# z@R60-?R`ul2{*4jL)U@f4k4HUah>y>pGh05VPJ)gl1#&uj9Lg(}}6{Zj8*hmfb zK%b{2eqlKrGPC{dC+WU>km6<2Vce(lO5wp;;wm`6LI45q4;Dm9qbEm8Rz1+D}b zXz6m!Vw{?$3~_gwE>Kl{JX(!Zh~zUmFPkif$q?BN8b-X7nZCO<$Sgb`o+Me^y(&SJ z$IBJOFaEP0@8kU~pBWQi9qhthid6H0n#quvz%8b;(saO{sw%cX@AGj)A|z7Y_lj&X z;0uAm<;umO6v@ilN@gPq%2UUAk6C(j`{HGiy2Q1>#>Sd36k?; zgbkx>W(6FxNMC$_9%=>0dB-%VsE_xwnwj}=s!~&8$AlCwnC^$j`!pD{EcB7fZlqu? z81BWZTqIRGfAZDrGF3Tv%yf0eGgpEebDeJ3Z*!^JT|M!g^yH~my?HeNe?TYi;Mj~8 z!D{_OjO>2L+7%=34es}L?P-*t|IQ=Znj~fh@NAcUzcC&3@v%{Am0XDZ$d zxWnUsE`eK>P5et>Tn4~ZE7CO+<>xQ>sX4h&h$91N*_ zqm-bcf9U^t>O%sYq4zyBuT$M=QWW~GB$`8(pe-%YlE3ha6!+nd3R~0o@1f=D4HD%3 zsQj$~OF@>GDyu54Z@TKEimjae(%uBLFEvVx&Jf+%g&V|US<-Lw4a?9f+#NAHPa8kC z&X*k!HLkevWa(xpU}n2km=>Fk(C}%-dB3kj22ThLTDr-bxp6cb7yS0a)b+ z0`C|1U(GLQF8036!b(upuLhY93`EjjD6<~lUQ1Nk24Oy0AA9r6^7Xg?n-LciOSHkt z+c-E|Zma{3i%G6r;DSS1+kbVhswk~%s)9Kgq^1$?C3YcX!2L6;u5nZt@reNYyI<_Y zBn$@Cuj%Kk8X1fI%DlS4=A;abW@q#~003kRp#gQ{5U@>} z=t7^E87eAp3(J<~ivdXz16VtXPXMGyQg$&}0u3aEoB+_IoSH513LakxE~)&QO8Sln zXwmf8v=g2$W#I93oH1S+2D+pDPo<6~K3ibdo6w(EW0Z=1trG+0X zB!OUmAJ*9pnAh4=%$Zdj%*Vi?Qy-Nzo=?W)qHTZl?}9$ZAbTcoXU72}Z@;+E=qd@) z^jH@{Zs5@gxaLe;B&7ZR$NHCQjAmm`*iN7kJs%na7vn}4;Kf{Ad;OZ#lB8B*%Y1*S z?TxV-V8a_Ts!pY}ljO9;6TLZ4)9L^>nSg<1;hV@=?p9(0Z}LcjH!we(;Gs|Ym|57T zlu|bCEyIO;a$};AOH_^S6iZ%k;d{P)o#OHX8m~%{9~TPg?KMBshAbky z^`e;Z-0$m8A>m7-kuzhrvo3ng%VExX%Pg0?u$Te=o#=twSIl^2rC6w;+66YKi1pf> z6vsAqCx^V5WN3%+bQ`hb47k~mO5oR)A`uK{b$n&O=LYQ9Tz1jEr9=_S(AR}89J*(M zhG=B_xcPC67Ajt>sIW;$l{)u*y}_Qg~oDX^K_tlieptPVorRJvtAsb*!rxM@XjYy2I0h5jymH6^Yq%Xw1p6=x{sDO zdP1LM>?E%PFs^+!(dkzsXyzvnX6Y`t-l%W)833bLCQG7x=lx!PfFMuCwyZ$2A0K`@ z-G6ksmD1e(j;hw3TCP7@d}TsF04wDKLT-%vuYep!w9UL67OtUiU^9Ruor~kCI|Xxm zGyy)rn?OEnTvA@HuKs?Bil%wBV=6f+1n@p6n2JJCq-d+1`Iq0xz;#Ha0ulR#rF}Vy zoOkxqRCU`s(}Cf!JvCOBhbCWQ8j$f&hgoDf%iZQlP@kCJE@nn!Dks7qN!ZJmPE2eC zh&e&vp7jwjiVLG;2{JVi-z{sb;b_d6{mfIW7#QfXj>IX{?VRPn>3dW4hq6wS)_zf@ zhF^J^`Edz~m%-|Ko+>jUm>lDRb19T+boZ-@70@f3&1b-M>TBoe?lTVZ)RW&7(G#5# zWJnHLt{AIcCiR&(y46jNdJ@1M%=IS6Iq?c2NtROw>GvibO| zg9I#*0;>D{KNnCU$y|Fq+AM&9xSV&fmMkj-D5L3`r8hpXaa)UXcF$157bZAH>jyXU zzJ-{DXPYzI1^4!SU_B<-3K+2#7b-5As*PN|no7E+NWq{EXh5ucDn z0lTN`O~yS5I^JcY%Ps%xZy`OIdcb!<9mJ4%H&-UaRI+v7_2-c5&$Fc>w1*@gi3glMyf8ozZ&RAMm(p>1#3YJ;Bqzw)ESaxj)YBMLb`zEUBs?Q$iL$U$7~WWUJweER9L zOVnq+^P-Jk#Kw??r$j2!7w6{v+M%}O^FlyX=M;9iB%}$O(@xiHiF<_s{omS$FJ%x- zDvelPI7kbM-keS&On1)vKzdu37SWb3lon7Vp2`5Z6T|;a*9Ke^!ki@RdmXI63md9^z-DryD35z-+&ZDJLnvJSJi>1iCbA(xX!Ghyx@lg)P2}4S~)&0$FX8iAfQE|;8$Cm_p z7wais!Z)LlT;D(b7RzCUHzwM%FKUBiSH*Ag29=dA1WY^3z)E2ZK4&dv;rogP-X-knyDzJVBL#;RWtpHpzq6U4+CqC z1Q__3B;&sJmS}S7L7XZyQ0QZI$7P6^S8mxC{{@>7T7QZE1*h=~5>$Tj!gtc&SxIT_ zypW9>4tVu+aZhOEAPOot>tn&Hw6n}^pZ=`K2ic}NJC7{ry@ zUsNH`1LvI7A>GYcalBIdZhRnb1cCxxPkVP&1X^ofgqD630|a48Mnu8A7#lJavkAVZ z&W4P=4Ap6R(0#QJDtHasb~a$dJ?Ps)Oi_hNTfx>yKVSWM{{lMk5l1I+_&nD$2Ie;* zNSFQhUVIzm0z={0yn1jx(Nvr^%}GFA<3p@@thjZA1e#Dp zTs#+zD^>n?IMXi?{eHv*4+}Nq@MD;9a-=91xAqX#J!!Wb5v3pDO?vp8=oO8zOV9+? z@tOYrR0olCvL)aXRc1nooc2qNr#jQ$h5>SfM@4$0LuuP3p5wbf^!xTis=DFEThpzk z;XZpCr1=S|knZ`+y#{QsOva5zF}Lbo;cJJnh8EM`aF3O5(Wdu-bzk7h@d>F%iN5;- z+dy+o*8buhKup@T20eYWPJ?qbBowjWJKKPJQPHA>Mg94 zRE(o~!sYPdFP(T(-exoS#iSpzeH>jwlgC}ob5Hr&!}=0r;hYZND@xI3Ti17ZyZyI5 z9_`GVm)KUIj#_+5Rix5l63Q;?~gfU)vJg=Ey_V7m;{ye__kA0Wn0RA_Es7- zK67SUGzAJr>3mXON7kQaK7X};h}vrVGC_m4Hl=KQBV4DG1g_up)ioA=?p_Cp*|;N6 z{O;L?_Ow2r^6FjhrFKD(v!Cg*tCfx4;jyyHg^Ad9eJQ+XbNYABtb?i^P(tPU^TbSg zfH0pQXGehTGEANKH(VEC@{o2&JZ9u1+UYFYLxyv3|AI}|`9dE@-Pdg}Y23*+CFw#7 zghXB1d=FONG~QfU6|T;UMD&tnMewqgd8($%Rr6(!F6ZZrWStg$Or{DfGYDHJf}* zXh5yAU1qJ(fR|JV&bbelUwQ>ubuQoA8>J@ZlF|~H@Zb&)xrRdU5VFc050oqt*XJ;` zNkmj&PK|kq=kKlyRPBV5%|E7}Qrzmg9hP3!m|Je5#{jPjxV(2WG`a^V0B(EW=a#wv z_#@c~Ij~_EFjY;$4yv7FeMr`TAoD}3gGCR*qY@sI>`-(_`t_$Mu@YF%T*fM?DMx~t z4A9A=?LYZRybK|z)zk_;e)C(>M*()H6pJK6_$=@4a9`&9{@hnzSWhBFz$*})9Jcq6 zugWenj&b?c&Jp!P4ijc#GQv{KxB}~dd{(>AOnkWQmjaO)-d2~OW+6f1F)QwbE=#W-+AapQ6<94&B&R-j zt#UASOH}n|g(4?0qb5DmU%>(D4}57m$H#-kN{N0wPL9Z< z5e;SPiT&w+4s!_>9Q6PL=it&lP$!HQg=^ITp_IpjoM8;elwrxTztS~b2}adHW+ErK zt-rL}+lbJHiUD}IC~-h&;F&5pZ|TZPY$qKo)|XJ@2Smi*6$K@&e-+o8PNau>d2imL z0=Mn<`*PPy)6*BNI|c zS5QNZqoVuyH3Q-|H>n6nVapUR_;$QrmIYKDC=hXzb+s|zUJx^-GTKG2(4W`4cl_|A z83&__fz1RJ>CMBs9FI~kW!<)1;Elh|4s7U7?XplUB#+flZkg#^s;mcw_oZLj_XyY0 z{$4g>t)JMtCFmlQSQ?Bhg9MHzs14uxyicc4KPED>Cb@@CK5hRbLHni6yX?-ywD5w8IiU-CvQwYA-#mH#vUm z$Jad$ChY$l`^rGP$WGh$lFJ)++cm{X2F+RtM7oiEJE5r7m$pBTfvv0M3{`jEA7>JD zH}r&sv=~3efD04Cab~0?>AoB^&iJLskfR)5FRCV#EuLD6WMcG}N@`_+PAlp;ZPYiWW+ z5jD|58!PpF=I0*?j_K1Mm&0qJq=w#8C`9+6kK16Z1P-;doh z#QJ+F8VYwP3cMD#R+A-0A5Q4_job+SF&qP%eHyWhdDUY%O{4qaE7?>B99s_8GBK{Z zMt$NNc9oPx4ktat%ZYQ3(}om{9G{%fK9)=r!I3tGdd%Y9(>pCM|Ew+UPw6j zVz{|^x<9|5A@;c~o!n_5Xq)PTHdu+)8RMqvYp=;JI&?bBX!>etBy3Pa4ieU~1){z8 z#s$x8CyFjU&!(VNQp`B^ggrlE;J?L%Tdho#w=M2Ij;YOvK8#!N%gq$@x+q}(g2iWU zMfynG*S@Q^daxcg-*K=cwut}>&E)YfO}Eq*@-@vfW7M?|zqqryVKtgE%E2)XYoRq; zDyrU;#Gck=NL7@6Hw8^?^9d}rypE#8b6q2h_^HLpCT}RGY(nJIRuWK zIfsQD*eA)*fUVlT)kPGpvavmc3v$zc!|_AX+=MHKZx2R8IgE{%w$ty+CrP88wP>CJ zU^VYQ6F-oRMUzHkw=O>_L^d}k#K59p+bsKDZ0#W&nC;It+~zk}6b4_H*aL-wJ||px zc#6%0{}PIBY<5$QG$fjiadYLjU%ckSlq4AWkHxHgeM`y;@}OGYUpPkhA8*mDJ#KZk zXXg`dgA;Ige@c)>xbQ`Y$`r$m{hWyK_nf zY)Ps`=X;yu5f;4ky3#YfeAv-FeIUwyf9B7tmBE4d4ZHcuu|W}V`?&wSOYEpftFL9A zmgXV&EJp})d4}_Pt-tzrqU)_}EG=c$$A%zv_G9kQ)ZQXBX&5856>Mr4lqO@0C2YmR z=O`lVOCv;D7>;9#%i`Mdqs}>O%=cFkq$`U~)Yi!C%;|Imf$Wf8@Gp>Cp0Wfxn z%nzq?cTAf0szS`jYn`cL{Xp59tF-f)IaW zw8I7T6p{%W2^JI=6=D|T%s#$ODj`KrG|O5fYloFOf!1B*IKmAzZrouevlp^MEQq#L zi3(hlLaN|`0L6kgj$y5@_OgPw-}D};%{jPvkB7xy2wjzS%%A3`?-LP|Nhx@l^RJgq#_d-@HW}W^F-Nm?x_$LoBLz}5R3q|&Zex@Y`9=96V>P5RkGYR(8_%SiTS?|7u z;Bw8@&nE>e1B^z|TwNV54155SE z_;pCcJB)|BvOc0d@|BNZ`j!HZ?oUpzE@1ulR#zxhcVi0T|2OkfyUZN)_DNtDt>^tO zmBs&4{RAYics8|n!VN&$Y0jzyrzt&I$G6+ARgoQ87jcbmjtTS_B)`FC}-gGl*4&NSlr+me~i7DFW=xj)fj8_E)YxUAsnoy;yWOY7A=I zJsmQmLVOHasM#>rFjg z!vaWDD9sY!q5mbE?P*Uz zo{ZqyL=+P&zx9Eu!1QUq7E3{)@A&p+yEF z-RcUCL%BDxW9=wMV}E)+L9^KER7&L$jS?3fDm=6M6!Gk%(uZ4ANLuk+5b{`??RDwx zW@o6YIxRtw({!4>XGFm%qSlUE(8h9lQ2<=?FjB>lNAL46Kt+bOD6Y4GXOh5G`wmG| zZ>|~J0AMEagr!6$9CIW>1a=@uytvOsL`1~MYNb{nFmht}1ftTZD*9zV=VCx=DwGYJ z_u`~t%7s1bphLh$wTJCJmDn$CX#MpwMS=!__~Wuc#1Wi471FO7%>UMURFXF5O)fxV z=Q^nXF#guaq)%g^jH)**(^z>{yySOb zGabEJB2noWO7CMH*|ayf}W0!f(YNS!(ww$@lK4^HL^zaYEwvCvuDTXH4CjkSntlP*iqyfN-hlY8zm@GB!f{>E{mCc_)*^SLB>Phw!eM=b(uX7!bpkP~;RiZ^fR z5@F!eZBJd(!N@G5U~m!a8$XDh-(EfCV-o|%*pU(>1Ch-%E6=C11aof-+k$9<&yRZ3 zK3+UKrjOyA(41?I({xOpyK#T?vi_S4wx8xUP6Yur^^l0pWDB?~ zlmo+U%2W=&yV!b)9U>bqzdqcisjEG_e$Rzznp+}-adNp1|AIYrWgg8?(9_4i)ogJP zvhwmDJo1==g1&j1@5K308kCQ6)7XEc=)$#I?vt==@v$s8`1{-E`Lcc!dMgv|N1K z${%$Q;BfmnhVrF8Ma1}|O#O-N)?S%w@%ynd399jp6?uwIxDcu`sdi5kHAdhV!@9O( zb@6CuE8OB>ZQgrK`*>M95P17kU3dmZ9)7Kby*(IFVoChVhOMxbQbn}EK4G2bspw`w3Eg{>B&JR z1ojc7(9XiiA6t@g@HJ#eE*2jvOPe<1bL1uM{TxH_ov>sIyzpSJpleG4bh^PVuZNvz zRFPvUnDu~8C=A_e#LVC87XqT82#FT>sY!yV%{*w%Pw@W~?HG@zA`rvH3lf~zz-MFu zLeTv@MKoTN985hkPus~CW;H^)!kf%gTq9sPnJvTMT;VujCpVKJ_R z8GmZlte+Eef(seQ$43ig`tu&1-AX=4C->DH z-snW{=wXd$k@rwT)WUX-8VT?!0ryyD8l{bREzN_Q4D&f5M+lzBB-jUbAd;LE5hJs% z#Hp6i7HFgRymh4a6@W92HKuJ)QlxRSKDJvEsP+^%8(=`7*@?_nt1(M7B%+xs|FXYu zTQI;+j%*^2-=5nlqO%}U5P&fMMBG~&$y1nIdU;g;uXr&O5DgYD$eC-g3vFy} zJlS-4v}D&NY&=zq>CrJotX$JmEtv~xq!>*J;nk&(afKc+@!}@TzG_&NZP?>t@TYOu z0##RK|Gi>~Y;TC-Y|G*~^d~8J2eE5l$aiMeD$C+KT(rZELh8lOKj&D6@c~+O1m~Mh zjNc(T`YXcfdz)Cgc1$0Yd)dNY8frS}V%!HD=elhLuX;b5>vY*(Ox%(-Rq5W@JOxEc z(1%Mt?2g7fd?b4?$A0njdI|bD`8%O`;0nceW|j)}4hyGyP}zq&e1jF|>FfVVZzlDd zxR*)bdy5TOXKt&Fak4QN4#;vf;&JN)*%2hG)GQEaVA5` zK)(RCDSkkli69B$o_k1889`x;lvYiTa3vJr{7ZNea$0^}x+4mHB!??-!j{UA&?RUA z;f#fOk0X?%$u^r9?7mDWOAIMHH60i|$dz(i=yI=WuQ6>k!`Vs@N71gnG}xFZ-kHw= zu9^T8_7^v8P)Y$GVx{O;y*UU{e-BoMCV?uCFM*bx-iB@wF?h)RfFe~Mb3|?0PDm8F zLay8z>_;3@mBNij1#}c4%^<_c0BBp}Ez1XPC(rgay ziE>WG3a2`l?4oeAG%HeVG0Flydyk5-pC0Gc5dOF^<`QdCRng&?VcSJGs!Wiw(~dQV z;%D{Nx@VVaQ7tQo(pgPYWsB5Oz5G_P1bw|jdVN?rq9KA!VkEgENeBy$+IrjSWpdY+ zkp$H-Easoi9q742!L~p18{AWG5J9<%k2~j}z|Y)Ra=da(Vc~nU=-6Ho8n+hGoU|N1X_`l< zoT_wAB>~5$Q_gcp#q-M9O}+t4+u;w7A82lp-a6Sd1>3cHVF#oyk3Rb`OuPr3huT`9 zsTFU7FJG3xpd)PFvLP{L#&6K5sd{&oN9lJ4+|gRJlWbMKSRO0)SN`QDEe!;u^lpf< zmks#O1{wNk4P9BwJAijNE>ZIx#@AwA8e%>wWtOXDf%x(BdrC0i#^S}i_&{)lcf5<7 zHmlc*3wet`KcGPAf~MM6T)$MSoCT@nIMZ1a`Xx#mIv;>OglW~)7W}~$c;gQKdo(-N5V!n|!N-EQCAQHrZL)|s#PSk2=;PkLJXyA_v>@)bmy%oK$y)tgg`!GWyG80!5>=^AaA)+Oed5R)UJw{ zlIw(c7iRI}*-xT+bfU_nzuDDT?iREN9ZeJ8_@$?7QunXi5aEnT{=j}^#O2muMu zOp@Sk`euvts)YfJZ_aujD*_r+asK1I#HB*EphGl!oCH#U3tpL9tk3=IG5_&rxC_mE za8N}*-ePzCdZ9$y5l^f%iSK&oA}s^<1(C0)n3$X7g3y(iqQH6*d-D9(^7v!qW4QG7 z@6F-h0)ZB!+)42nm!}o2_+Ozm&@tnChDA=;5ScRkNBmW6z6z($7dnimftzONg|z@d z7Y+J$Cx?jHmAg#Un*w?p1tr1VlrtAWTu%-HC&aO^3xSyXmn#IuQg4O%#S`K7`1~X1 z75gK7vI(}83h9E@oDGXMXZ~3v%%zFz8qRt&%cR02Wru}l?F_w1)kh{uvGcZ!FL{zwtC-!BJ1b zio6?}Z=56NXX7CLC)Wc#S3ipr=qCsb*%8FlILZY+Yb}gDvChz##c}NB#jh6*$jz@W z+99T(#IlbuOYugoE)ec@<+K9LM)%-ZH9=(h>0 z>=`qLmg@P2&M94}C`-8D zMg*!9gafFF%{O>$P&&xa02yDbyCIsyGp!I0-uo`L#ne-H6Q>sNv2u<@bao2ZAqDb? zu#Lx0CG6+%x?^z=(!uj60UX2myxIADM39R#oHHgy5eTdy3WK-vuq;c@|NLCFDz{GXr# z4p>#t9G>*EAt#m$c}>`NN16H%_90CmQn)^kbCR-AxPqcx{0a1)*a+K8mYCiN_l)YJ z&&v-=RwGd~*P41D_4PX~=UEr2urivJ1i@HU3?UFy z3+`__Q#3@|yq)5N#~+Y%Qss)6lzH$y8hQc@r`Y z8#yNi4H23r;IdlFc%f)ce=tOG#0#cVX}5jiE2No9{FS$7em1F6b>6v!wDwY@DW3y@ zQH(d)A}*ny{Lu?P$X8Er0tY|0*CCF%?Lm1KQnta8z8OG4gVlL0s5+e02A|99=|e5^ z_KV6ku?wI`l0C#}99)j!sQKVW^Z%jb5rZW7p7h87Z~+N{c#>kHg@;NM^9c4V5z(4R zdNbN?<_2$r)VSnwWc_jq7`}tk6~*XJA3bvN$0y}{Rbg&h<8ptMsQ9;+@$4n$(K?Ze zT;6!JRO&i6MLEG#QFN9?i$bVW=4UTh_{GOdo~_r+`V3B;Ng2Z5NvBhk?M!TDCKA=o z#m%3!9S2U`PJLstmz>b%Z<~`Rd9gX|^}K!`C_o>ctKP1%~ONxj5^^k1sV^ZB;}C14jrCC!)a~;ya}kLonpUI??D0l$%ShV zg{mh4;0p0;M!6CU(U$o#;5K~pn>R`oax`wIHleZ?d3|nm36X*ATqOIuBaHNA<(S|w zW;m{LZthg21P+8Q7#Fgf?R}mhUwHqR!_#x_INBxUYR<($B>i|2$Y2|4wEUd4Hd(#W zz1$}aG^>9lZq>vRyd_}gi2JeE2ZkpYsXIH;ZU4NcGJrctEY#1%3u zCGrg7AhF>L97b;Jd88@?dRtG%kzqG zNBCI4i@j9cWzG>1~D9`dSLIvL3{a;w5zzD1N<9C_;P=k}e1r=nlrC zKid)si?<~UsB-$FBw>bJ{PitJioLvJMRrWN9s z+eExa1PO}Yo{i^2|2+ONZ^PD1jLawz3m82WQK3Fe5v<9==GnA8u0gmEnTjf?wHFGe zCxcgU2joB%Wck}Eo57P5GVMSEu+Kg@i|CWPBRHXZ8~Jm|mdHo(us&pcFn?u%)@rj$ z@@^xxUH^2j?H?NCEvq`7f6_>rGHW+zGMmh$BzJHqD-;bG1$anwxHvbQBP4y`lE)fk zT%*>W;j@!IK<9GPS*}NQdIuq}`TlZ=qtWsZED=pfv=sx#y3wqu+@nvWXMdY;W`QZM zU7Jd%xwmK*pMSzvV6YRuWzs>g7!)PPk0tX7-Jg(jrD>ebPVMB#&{WwETdZt zbkfdT9jq4ajbuKd_^qsAzE9$0KY77^58x!W<8GU{x2**(wWEQ=P-Ir5E~hqQRBZ1tgjYcK=$KCj zP{H60IVL~n{=IN$J%{_#S3MbXh(Cd{ktYjsGN1O)(pFF$fJu`Wmf9zw7K(OG3vJ99>3;A<97JRs1Z)r`=<|_zVHl`t-Ud$dK}|iT za2Xa=9xfV79{Il$>MI%VM|031d6PRKpnDi}8qVT?WP&r;%xg~S(+U7wsC*dR4VD^o;u`z^-_dNGD%PD4KOzCltotOX*p(FLd4ikv}U~nb6$&$}DEg45g zpXftK7ukmge#BxR&l{1tkUNz!vfc(QaLVvQi3JbsqLq4hXE=NC^x*xyZk|O z3%uh(npN`UJ?gxF19q3XKvZFV8s60D705msYe1oYrjo08bAhjIcMMvaTO^2SiG*qb zx6tCBqWLVZ2^+Mu08hc^edvS!hv|dr@kaV1ypV$VvDd{hM_K9hual~M(WuLPD4DX- zk14-uAjEZHKN)6?IK(A@rc#;_@C~WA ztud9N^m!-I&YX^jRy$;lT?j3rh@2aEC4>H2V4Rv=)BC)0eai%R1{2B1zYM&eq(}J) zXA!GhskA+4j3OQHmOYjapJJZy#jDVfuU4&^!I-MmLkSsNV|db(F6IRN_JVb+?Dbn2 z_i^YKt0z5|>G=+zB_B&b?FS)+on<9Znq+YpM0TsPZtYi2A5Q3Fd!-NcUke^jJ$(=(KhPS13A*{F_ zUV|2&o5klUr4Y6ZLFHlLGcGVsPIYKF4R1hp5XjR3;jl(|X~|55RBxwlOyfrQ&@5r6 z)>M#_$nlsEV@M*$vvj|D)clhP!sD(rW?BE&l`4#*A@qe3a~^MRujzP4E2)PVXbQDV z5OAWItU6Gm>2L<&-`hT>DRH-13JE7m~Bbb7L0cO{+A4f)v8+HXC_XG6AV)<)K!I=E^E@n#cn zs;)<9*F|=-G#w_~WUBJy4uR5@)8qv0`jx9>Q45~SiCkP3WA#%MetOk3MnHh<_@G(c zYU2T*8nxWXUMN4t`yOFtrg6D!9r^sNfF7_%a)_flYdOx`5Fg8XnSaQnO?5KrxEW5s zU?Q-fF`CmO#g1)Eqv5d1>CjhAc#UyIjRrr6o>*0;@eX>_K!hsmMG1cE1aW=X2EK)% z@u?Jxd>-biaT1!xb4e+=NiFi;KG>>qCYOEg$xNu1Yw7|B&%K$HeYz^&Rt7z2MPAeX%S|IPH zrY3u2IHq%83t&&$?_sK-c@+$_IUqcLIgW$ces3+`*7m@x%~>@&2_z)q6VdzF=BIgCEHyzds8A8H)#|t(iEORvZjvjx%agUzO!t49c>hMT-eVb;$CTyl)m&ei^f7Upi9GAM!*DjSll*{tG^JnXaxqsCbK{TE^pV8%i%yE#^`h|JqH<|bxL@VWyGn;&+FqNtLLUZmji>PKj@Q&{OD4URgrLI&eo5{8nDGvrr@ zq(`tQLUDefU3hI!!a&w@4>MTLv%*~hAR^)f^0h+Zxhta{7U3;K_`u}caNJVnjWL-z z{%+OuXcc+fh`@IfBl#OEM!(8GO!r6p*cOfN^tlAzhYq~2tvP<4y;YDcz4?e8F2&wL zay?rUy7RR6SzYlxWEgf?c9;F%_E9_=vn(_{zwm2G7>_--Ncbh6=XeQX8!Suu{asz_ zS3ADxJS^|J72>xKL2apKMs2+` zGknzj26#O;f6Lxzv&|Mdu@7x+-Q9;Mov7E_!bRmH#!K0oQS5Npm0Y%Hl90~%g59E6 zb;Iq%sJNvo%eA$?j`!P564=;fv0e7Tzf7CPw5+8%&4EpphsTc0je6H+ww&cw$@9s- zrG!nBakPQiiQ#9ju)!%3Pegu9_wWV^oDHaws{B8*R$ipf4y*DpKCP(IiM>To| zKZiHE^?73~4IrozYi8mnZX4As zaR8aCdwbUh$H^x(?boD%w%W3RkclUnZ?ckk+I;|;N`X%`pRxk}n8((I@dD$RnI)lM zM;HtNuO)qs`Z90-U;jXJv4IB=%k1WQvwQh-s|w!!xw@u^d8WkWS_~OF3pZakS&g&= zHv@03^foK^mSWe3PL3y17NaRP18-stM6>g;eW*BV(GDUS+Dg}+v}=vKk)}#tI!lGl zaoe?83=$@*INgYx5ip!3`Hq}h*8wB^rIBl?8p*I>ip;n;$MXYQ57+V$T4BhzlXY)^ zH8!{d@ECNNrerGU@=wl1%z}ew$FmgHa{=$LvX_CDDFiY1qILQKZV5tGIS;2%cYlVj zuTx=u`=6{eXlm3Vm?dKyOdPo{F>e}Q3=q5{-Ohg5gntcBvywgl@M+~V>#@bxLTSIY z13jh15;CL>a5lD6d`}X0OBjp`R{kTCUZ4qhk?`azkM)RJsHq2=4t6fMpJ3FToW83e zO`k5tTAas}RNefGgfu=Sxri;WuwS@S05Xp87-FEfnF^y)hHvnL8n>G$qKEw)2u5>) zgd6dDXx{)B4n{PWM<{kW6g9hCT7zPz4FYncZe0FA)KyO1ZK4QoUH6&ATX2&sc&8}B zb{Gv#mOoY+y-S2E8f!`vU4>sW*R(fL>3xdb@`6z_ru#EuGbHg%vImv9w;_^DD%^C* zmgEFv0}th=5-3NjM$d9X@-mK(KTFR&-|nOJ)!#ip0V7bYGJi#5+s#kdfe~dK^U9P$ zLJIw$!(zz@f@BN^;`NrEebt-!3(2G3>GcAjDuLO~rHX+wCEDPUK^WmCuc@c+RfW1} z-iR7b_s8I%Pczlh98UEt=I;imR-XKJG;(4U|0&{8kE2O5GB;lwWR%V2bGa!3G4X-) zZa6C2W(Bl^cg4H~8g!&ar zTkzkUfMh>Cg}0*C=WtsqhGYk=d3}J?yTf}jt!19DTR0wPbXF1N{+P%;!~kKEwXgAq zM&c59;FG&E9^G(IB%2vW)*om@yHa6WMj6_qg&Natp%49jE}W7(s+ zTcm>~?)durRQUZN&>Ene33hbmUQ)3myKRWq$P4gea+*T*7moji2d4$1v3 z77nk0bhC%XYZOEq7EM?1m`B-PDX5dtinpbT6_#xEIwv02mJtF*Kk`DihuWQtwLs7j zv_6*|_~~1Z+DF^Wl}{Blslu*u5$5L!JOd!^Th+2v^bvd+1@^XerqK;_}|=lD=kz;vlg;F9R zF0qkwm=gNq$r~owu2GPiS?WbgWOxsRN@lC!*&k2Fy!d(07rLLr}HST?* znQ_f*s^(F4%RV&MGrKg0--oi^g{3JP#J0L_O&O~}@VpzB>T99SQ@1>yE0!v}wp`kW zOcvg1?ND(tYO6Ow1j!t z3qLPiY~9#_+3@}~uoLNe>#{*IK)+z@R+?8VzpVQiCYh99&e+@7hx!WCvy>fNNQth0 z>lSGn&i0qs`jD*r=!zIjbpk!Q1FP>&Cu4S>w-q6MypPFA%5LA zZ@%a%cw6Cog*uUF$|!_{_inV+gKEk6sNvqgy$ZXx!4GNuPK{0Nc*TQ@+e3M#pR7D^ z#UhL1(mwsQA)Ei+-(0meN(^5Ubv2vocxPF4XZxBLJd(9*Z^7sgkxeIXK=w$#_1c9<$f;I$$4q8@|0h6r$a8XdI^(=65(pSN4x6 z_4Gqeq8?EflZhrh2nA1%V`!)gUl)wbU33s!Pp!{+Id{nA%5KBN%B>+85uNte^b>_$_Mwi0d!&8HGm0I`)ME`T9?Nz)PeIx* zkytgsl>52zxuC>LFQTjbxs7d`SX;UG4}?_gU8B!FE=C4R9lHws->cE+C;bar%h}%E z=GV^mkIE)(kj}+Tf7~=CK4Q-M`H7r(TVQmyVa`Rerm}m2_=h(uelYA{$1eZar!D@7VPu^=)yA$6{pl57#JIb%)o6aUZRP6uJ(veg6q4!cs@$d~XhrLqIh0a^N zmE$KEv;)^0KT^o{@!WJSgwPw)gs=bXTl=~!yIt~g#gQMBmPW@{&q$VC^}g9 z#9f$}t{kJqy1{!R8mV)`6amH^aMkI{?gV%u%Sv!{f%`T%K~&k7cM8~p=zI-LAGyC%tn z=o1+pL*XBG75~!4_v*<%12pTHWYNxUyI=Ca5hqS~urgZ90|m_0o72brb(K)vM6dzk zF@V?|7#y$RU(t1JQBL)d_>0*>-ZJY8BtTZMWAt!-g;5rOg1yFt4kf5XL^^?x;30i6 zLmbnv?>ZV0i7nhx|JXT}HAt!YtqSWZS-U(>yPlmYNN|2+rh&*2&%6BI0#2tR1e|?n z$Xgc#ta9*&twyqdPI3(qcDKJs$UHfZ_{~sa~-RY_fPsDnFvdG^>jiv z{{eeGiC*{?-!RY07H(Spt;%7kHBj zC-qWLyE&KpAIm4&EfX2~$zAbUNd(BRL_ps`xQuI^QE`k*%YLT;wAb^!1<>dYYW<=q z)Dl_<-GtCWK7cSQPpV&z!13gwx*tqqy1|-=qw_d;e38OqqYPFBcPey`&OI*2;`pj< zD}fZk(-G>22m+cBR{g0vM2Oo0%{!J#rd1~Z z)b%vzw&X*%CEugFYcf?OByvk#O!2e0XhfW4_|Uh;#80!qEl=|4759RrucHj%i6x{6s;$76yHL zQiC^m_y!wXiHjGZ1AMgzzA9P6z?~*2aoyiT5j*ufr3IV;Pn=#*DOAyAs0{0OLs#N_ zM}rn^(<+3^rr{s~9iw46gpnKMQ31YBwT#Igx&`i+L4>MNDJ@lQCEHW)HPtQ>BaJg|}In!ziHTt1bV(y(MxAWiR&aejv)x-94H*1N*6Fu2y?G z-oLu0Xz zO+2#+RV>CI^*=v-8??eU zhfaB{G4HmKRzklv$lJq;otJXO;5+*Bp#Or^(#LwSjp~kQLf5nkrqzA_8(U7qwhoa z!|mZJCs`0;r=a#8B_uBNRdj&X1G@zJ|a2dabeKUthURmp~E>$k3rxA)yu znS1!tv-->v^-pTH`pCs={L$}wR(1|coeW?vd(dWU6^w4JjqgJ? ziQ#HfX{SC0aZ7(8<^ zs*OE3&ae3ItO5$@R==lS{r$Mo$Lg$W*i~mMpjAR%QWmnzA^UQ1|Mb?Y9#71YUdD~3 zo^4K;96J11Cg%q`Em>7*!H8dZACh(kLnMk#xG_|HVF06^?Twhbba=@`d;Ecb(H|{Y zR!vH?;5)M)dS4VGcK4xtxIy5#N1>$KBzMo{ol<|&Jc58<_~G24mi zRsp^DW6KR~El3H?iZ#4G&BYLUj;4oV{VmWz!X}Il9%bIAjlrU6&O?^(pzy349sX;d z%E{Ty33cuf6xifHmD?j3PEUV?e(OAaO8t}M_Khni13uiXoa4&4Zj=trDNbzxU0Y9u zE%&xK*w<=SKieGvAeSGf%M*NNa7z1--a};DKYI?tto9oZ6Gf26!Xm3{cg9ZU2B|z_ z47}Fxm$|(4X3mAJTb+E*VcmW!G%hSU=0>}7G%=UX#3xxU=_Dphl}g8yeUa@K_%SIB z58$rhUKmr?kBPC5xm3Oy5^ol3dL~vr_CjCDbz1JF^RspmL2&F+p{wu|m88#eCh zIYuC~hjS^{D6Guw9he}%!i%O-C z!yiHh(rj%FKYai7u;P4E$|16qQq7+vs%J+YVKATO^z1ys3chhu8u2u*!VIG*ZRe@Wx2^c8%pscH1k@ zu@|>l#dUL~Yp?Ahn?+q!XFSZdX+xVi`;c5^_^>f6MybR%OgMa#_92`sx-EPW{I8E8 z@_lO$-n>Cth&Q(GJ7?S`zk!jv@*wPT;ux(iFsq3gW*zL1=$E+m`9#>3N910z^ zN_ffADdEz?=)c?Sp!9I$dPq5<4)aV-7$fKh21@h_Oz|gbV`YCUoMgrRP zu?aq-mAlACDH$u4${7@G9rx30|L-kCo~`EqsIaPgb;Q3&`n~2Q1`2Fk@{v7EjytPh zakLYtscdMQj4nk@>RXj0K^uvo}- zT102V4$)5$4I1_}a&f4SAD>)fSg~K-Ta5F#auhmlQI8_`+@Fqf=WiUQo}V801t8lY z_uc$4rJt3;$yBi;TZY_Tz=8u*PTlK4ThS+tDlxf}$`r^VbQa$NuflX%`29k5RlcBZ zM9>j+zxey9%}Re6IxeOraps2RkUl9MlxNy*>Rea6qv=@1&>dI>0Ey?65&yiAHwsil zYl;OBNs{?YTj#88h2XaB-evrGx4`13I5AyAl4G*D&cYXp459e=Z%1T!1+Qqq;5IzcmB#&T6o`fwM>^HFnA*YmIW*29lS>D%R+4 zJIJ>mYvj^G-g0%f<`iG3jM1nE-&2eucITc0BD}A6Rhwh`B*X6`k{eNPIXi zz6HU3|1bb|TVS!kqy`m5CPJ#{tFJf7IiwocYBf&E=|E!-ur3R8{X@l5h2dBZ* zb_H;JJ~{|T&>S?a9(%#a@m;$H4zR?sg=_++LZYg)4I(j>7a|7b7tp)`5Mex-nP87_|aveI@<9JAm*LsCx_m#WaCuPhHFWjrp>5eLoLgq0Pqbj8O5 z*0>2WJ&%5wmE8THr2rH_WXPcFDQH0C$TmBL|AFz0g9Hf(f{CpzQ$tewlryu?&1}@)*bb*clPC_a5HWsMw0^1&E9$*jD`?zN)Yu#PwJwKe>)ZHOPy!?^ z(@u`&=*wnToky-kv?w`3KJs7ah!v2pPnVB?%aq+VxR#o6#Y1f-I^@vhbk26i2=*^#=?3w+gXD=RAJD3Ef4Fr!qw@J7xIctNw}FBtjYo zI>J&l4)+}AT+fGlPZ!d4jCCYHT^E|HoWoyUQJ7}Qd1i7HIGy6zc*zvG13mP3iNUn0MyyytyI=won~ipEkvZ62Jfqk zwY)*AcCsV2F~b8O4E-XSl{0+5M4}~@H8{Q1{=M}%-Ur8LYJD%<#Xsom?R(N7$a!_K zKNI@Kb$(G2`n){fM;+O-IIC-PCS;cMEy%EWwKQn+jY`VM$GX2U>J9VU3dn;Z-wxDX zb@f)Ckv*hZ{*m?C^3+8`K&q|2$4(oQ5iHyBe1vKBlwzGqZ*aA*yjGLM)H&cYUTkG_ z)AY?}LvEz(+_^XJPEPr&e$8w)P6#T0J|>j3QN_N?y2m)nZcn))6RZI1OHK(Q5Ndp}6r=6fpoCF@Gsz^cc&U(lO7ekH#&wl0J2uXf5F zy0g}eb6wFm8w1xexTE@*CE)9Dft~jC(HqB$zmtN()lg;bHgSg7yydpY8MZ>ze~>t!pe}z4gN|4WqVTt7uf|}mPdT*c@+AkNB)LJotXM?sJHi~d-ByQ&%|UM)L9`U zk)QY8IY!Dq_}(M8CS?w_i!qN*{XX<)#+jXF^|I-M->dV2e_iO;4;bovNR7ERXj5m&O~HO}1%sY=u*qo~L5p<~^3}BywYR z+^ZfR-g$tSvanlyy|t!$SHCco%T=>Bpk0sed%9r1@-rZPZxw8M5_baL1tVJ7(Yoe$ z7kDS8moYFFu>MFQjl9tb7SKWU-D*|_JZtT7!q)?HXK^}m4gw@Q6VoXQin7>{m!6nf zg>6%yw58x=&Mi;Yb=?%*Oc$KG(e~PMp}DsPG5+pQO<9tG^0zSTiTa;{LoxJ9T0aWR za)&Y{`4+31>zGr9N+B%PR{3p9d{#Mz2ylzxH);Y-O z&x&pDuJ=GJfhw_(6tOZscPU0VXQ|}D=Y`dy_Y{9*8S}1U52yXw^8!|M-wQ^+@#w+M zOLpu0d|9q<1Uoi65!kVcedyuAzS=G@yB52>xRYSa+q-<>S=ViB$G5s|@z+1Xu3Suo z`fPIV6y=YA9O}(yx<)an@?RAHdkL@HJSqc7D7a5?p`->d#_--oTfV^_WI zh)`pE4qP$jI+7Vh_C+f{P5M+Q5Zo+^*$5C$bXLsnxaH@1_4!WB`*noQcGRu<8+TH( zOHa6dKIgeTm20U2NC&*`(~@8mZ524dKDUzf&sM_ichI zt5Uv2H$HJr7w#ae)uGPU6jU5f_dRB>BEAoie8VsF;=Hra;hRgcYyG8L&Tco3e`YAx zZ`+(dHW=|ot;@mCc%*JO#5KGR440h={^3I1ZDkd)Z1Qc{C;WcDIJbWz_8v6<{@#7> z=lfQ#@Q;;1p!QW(kr=&r>`Gec6&aUkdD!n@(Tno)I6k_%H;$=N&g0;FLk+e6U#Vfy8OpCnjn7KGy*|O~ zZHGxUaJxnkpho`*%4<>0370g#hLXY20|%H8%$DV}$a_}C<9JaXjC#rwi@at0Q7s7U zTWaCc6Y?f8N{nM`j9k;N+?5WUEewPKSvV27q9usLa|YuUZRBDaP;gt<=RO8t)tZE& zp{nnN5uZ47&L)7!nrsLOCc;uC3uS|;b`Nf=sH7Fv_BI0%Gvh0^@JLK2FC45tkp>eQTsah}PII zoErt;esTW1)Rg(pl%kZcR2mRP6Y}vYvH<4~7mBQA>2CSz@%me~9%1By^y7pU(EReI z3`#xBlH0}Jt`aJVNV1;U6=(xdw~d*$32$S86q&BTaj?ok0KMR?)wt-WsHmd&gY|Z$>Sp0QPB1F+ zs|A{RIlI*5i3Rg3yo>U3^B=Ww;JV^XB(Bb~;*)(qvt2751(8qR#QW9*rPF)zpW|nW z;CG<$!onVREyS|Dgjc(`4~`cL`d6u71=wf|u)7adz(LG4i1YZRp2D1Dv@uo!^DrBW zLqS&b(#6(k=qMz-aK^v`fy_x9tz_Z1#1Tad3eR*Y0XjKy#aGoJ!xKehc*!S8tNs%! z0aA=U>&W^%&9F7bqNszw#0H&nbSV^F#Xi=vSgAROgH*Y}(#AoQ!?9D64)t#KT~?s) zbafCdx|>l=r_Jr7>1f34jNr0{0feQY4Q@k6ho`0736&_YUlM_lbpX3gGr) zZZcc>4xB$!Qt&48HkykL;SWGT=Sa}aX-vNFr(_NbOBY3@3BWYh7NvG}*E&R=oSQQX z8CSYom^{hdo?8s*a%jg{jwB=6=Kj6vbVG0FP5{A%)%_L55(uJ$3O-2{o0qOWq!(2Qork{Q8!v9$?(4f{YG^kt} z8|_XT5TSbcL4lxI+a{^wooisD*71!PFWOHD^A#>^KT@x_+RdchYd*h}GptiH_I**6$QYv0p4$kf-xA;{1rsbV7z zjrxs(LaF!Nq8q+%C86Mu*V?Q!TR}tgKetmz3%dDof52u{UE@UUEhF(njeF1PnfH3& z!g1@yNx)2`lit^_P8}*eic-yMefV=%>fMg#XG49(^bMD|4ZB4lv1 zuI$&hb)EzMKm&ceK}`Ga=*^*bKdltEc}wqjo4-kW{_LH+u_G-4_4L8DowM)M*AGOy zPTeS7RtSAQQt(i0ccYGEJY26(sV)EHoRZ2@-y0t`pX@`|mc*i7TS~nMjx?&wLu3fV z{=V_!gy5Enk7w zCrTU637Q0jA(Px1!OT&j|9OM^sGd23+vLA63@kcN(QwefGX&_p^gcvo0ghm|c=(#+0ncYQ!dHZ%X1*VobND^2 z_u8yWaJ6u!)9TN!ci)^Zy0~tLZS^)MxduMEY_~P(Za3BRlHK7w-nf1L2S}iMiy52$ zKS5D@V!BC3W#`G8(kUx2ryy56%s#%iIkV|`D?#o2%E!Fg>a^A0xe@mFBIo`XNmo}P zxD@;A9P5k2A?DvZyt6z*Ay56*kK(j*zNqNt^QWvj+?8;-^s4O~NBBVlp+mWb31~xA z*w3-}2%B6CY+@ghN(ue!yAK86JHvlrO9VRI2c3eJ^Vw(ip;GGhVQ&yBSYD{4S7w%e z;xXuWKnCCH17f0wje9Fj=$D=hj$yBT3wJzSizwNL#7_ZrzqL3o(XJ1^{awH4?YD+X zta0YG?=jybly+=C2CD3?p0R7LxvR%I;)?JuAJ|wwAo%-J<(`7g>ZO)2w{DH0Ke{E2 zOuLFv*VxPKy}{{~qj<}V`#R-`(`+hBV}uJcBZ$S7(Zln zM!6pD)Y`1QNorQv?nDTW9p8et3%(4WF@1S{>D7W-#{=UDF<L{E9g~ zx#`CQp8(>geYgm|B#@Zh>SR2`*$qFAS2nLp^}UilK3vXLNSJ>ixG*aeuKd~tDZX~s zm?`gmBX~?~7|g?egb^#(p>YM-D%X7SKJ?k@&^>>B5EnQu>3=I5T*9TGdT-qIbVmJq z$f=!ui0d9<#{XoP(^w_{fGh%g%X!hN@vB00N`ok-I)>Sw%9$JJn zPxJriKJA$@oO$fz%WVe_#l)VL;Dkw6Wbv1lypFau-Caf;bR~9zaV#Ke4sY?NJ7d%d ztS1@)jtHJpcI<^I4^hAS#jX0H-!-bxeAynqJl84JwSwe8*kE^~`?YH`7OF52oRs21 zL|M0oNgR>miz-{h*u__H2;cZ}j3W6%F5=&RUq{#JnbaR2z)Wp{oS!%mnl6$?x8&Z) zzKwp>(ZWr-x}~fJ(30Z5$r0H#4z~^%;Ig2CuVx3?GdpFn=`n_&i_CeeQa$@AMsGB6 zI5%HmAxNBdMu}rQ%i9a)I(@bIa@z@C@$ovBOUOgBR3_%C+^V2b1`+HqCg!c$%tQex<-#8*aQ@#A%?=aO-FnYrEJf&{Adpg&Mjq>FF!2^WL_Loa!VXH% zUU!?3=N9Es55$5`Y~Q8RuYJlU2b{4muIr^jBFjIB93O8z@|nwUXpZ5O(YCphX=~{9 z2ef1kaT(bUg7mC?{Df#ch)jC4S~S?nN#f6kC|Dtkvgky(udRSD(LFoqX`r9AYihQi zt!qtGCz!QlH98Qin2uB_S!R??iZ@^LqIO6ffoPX1RtpwyjV|dqy!W=6Z_|hu(4!^) zCvwa1`2PEIusY?B zSAZJA05AqktgIZVat(BDip;2Dvq_r~hg933u8SKsS-25q8=id7F#%Z7iME&HqvFd? zDc*8uE+u%exfJ!u4%6Q(ju<}z9x!=iqe#&Dw8!F9=B_0Ml5Z6vDYfX%n}R%%6Be}B znh4bXtZ8au>M@S#;uB3me!XC&WDV}cwXIbDybD`Jwlw1mSu!LBX=12XI6}c}73aHD zNr!}5n%{Ot@f=vR-|JavcET3Y zaR(B$3Bxh8q=={%2B`YO4&uZaBQmgp!g0)T^{o3~Su)rmR;uQQmr~A*1S_Yi#Pi*F z=D=2^SXOdk5FMfVsoT<;*GG54zn}z0N1#R=M0oPa);@92nOQTo~a0{6K})Tj$Zw(e;U8@oD)TZf&)4fQWNuJ4ADe&@8*SW)3;y0VO0CLiT;l7P9-k_wRWB^p9hXnP=v{?(6zo=LsW7 zCIY#m=KCuoS6*v@l%jeyuv26`Ta>q#oI0I2`!xi8>lqN!!!Qq1g3CEGso|-jUg)G3 zfg<5x5q&pV=pT93X{k$C*tzq#&$yxc=zki67Srab4Y#mgvGAROyi?L5rMTp|d&7ab zuxBVCAF#i`yJ1~wbMqM@dkkIkRAo1pE-Ce{DPv=yqH-i#&2UnK>_v)B6TC`&FQvOVRP3e1*d_SbmM6%q$7uc+M1vXRf z^gqh=k{wSqRx=Od@eB8YfxR}yvC|?&6qbyRWo>w`f59x9JH$4I@MIAe6c*jd`-^Qm z7s1d7mxI`&phsk_a=x7|#QE?Z}nteCkn}yX8*FrC&iEKP(*xeXSE)LvcIj>xjEk z{^7F4*BK z^RwDiFO%uzg$}vu)V{lcviHj%wu2Vaxz&%m;mX?t^=?Vn`p!75qZX0zq~@vRPe@ zna8ngRQud)aW31ry^ae@Gq-IE+m8QA#(x&9HoJAT z?P2(&UG98qPVL6cj)$#Z>QQWal_#i&>$mI#`v)&M2ERR@(Chd`oqJSh<7$-0=YXo@ z{~*D(og(&c4T^~eWu>^@o>v2g_5q&4NwstKF zDxdb@=vJL3(Woup|%YoZhT{KDA^k$T{Zn>koJ6`oCLWLA=(h z7s2lVUewabWT{F0CFySyr@kLIDG44f*LK66TH+zepBJU`YxWG7(OU-hiY_}H=A|U< zZ{3772NM2+@*DqyZo>}-YQusB|J~=co0ojFlV!4a--a{m>kOBx=wrb~P_sYN9y#bl zERhB`A09>h31d5E`V`9YUhY)F!Jc}%Rr<5NfmQXfv3-|Uq6M>O&;5^>w|WsTGNFxF78cFu6&E1eWm=DqlS z83dnCIkd~on_ePs>lcFQ!pL0TeFP`QF+!XG2hafWX+wXd6mq^CyZyKvxT?n{T+<6# zv(9|{DRRcNEm4u896gC)>2}pV{<%$0V7L9UQz(LPv`r>HuuJnkQgKX-6>4Jvq+8L> zN@a_jr~UEUOEL|z1*}mfLZJPq!&D~lI^(j&sXmpy^vcKPr5uTBOS!PleRqZhx!-DQ zzYYr`zvuh%ea#1w`veq zsJaMa9LlrZ%CipmzJkLhu`WBVaTI}*@q6_tc&`Pa1GQxAgW4L%$HZ+dQ3>qNp}@mJ z#X@waq`-pQU)^l|HB3=w3SCGL658G8HgOcMnjet=gUO9sM0la!1z;n8RrbOQE^?z1 z(ml~Xu5=IU_m_FKQ8GaaJ)SU*5Gn@WeU^EJNnSEtkKv=3U?!MDC1 zQ`C)>2wFHt5WK)>#jlEPtEUJxrHVQso!@?}2Ya4e8jqmNOjB}f<>l8AQD>v~y>V56 zOq_t*#}k+H@(Yg+ZBOQ1Y%W@lGr-q`t}+vZTwfcODNgzt2QY1_?*b6_QzoTNV~-b_ z7PUrQ5T}%#_z_4L24?!fiB84moe_#l24@05q_Ne&N2%22GJk-e4Qxc_RByZ+cc{Z# zkiTGU>&n61kH_+NU5xpVr=tLHaZ-?&s%0*9a19W|8!o3UNc6O2*Cn zxGM?BG(I1lDln}k{OHmuzJNSi5F&WgzG}>DH)$TlT!?Hr?+a?++@m2?H;r6@tJ&o* zdqBqG-BpKDGb3H`JXp!3)GIC2wy>S1@S&ML0BcU#C zKxJBQL5!yZzI#wGK-n_1Tt#`h4K;S5E&?v7v5~Tij<%eSmpc{6Ue5-J%;ra<%Qb&!~u&alNmY%gsPtjuJlM0QZ@ z99VyX`I2x5WbP*R3Ey_^BXTzzav8Euxu@#JMxU0^EJjjYgv)`+Kbr^`%%ch5uH_I>A14vq z_)JyV+%s_GK4iI@PiXnV1X)g=1~nrTBO-=mtLtdrDRe%ocnH9EtY7=zykmq8e zbokf};?-=F+OLc{5}@#qEox#aTltP0jee70jaCs$J-8k=Om4L!^R)6*m(|#Q7Iy}J zZrdP1XN6X~XgwEYwApsUX(A*0M8Msnn9ywA?ll8oPsYQZOV3&y-O-(-GyQ|UJkZj0#r#X(wT_1(LB5cv{SR3C zvAgp3;`j4biAQf_&QkN}Q!ELwl%mwx)JPK%y#^1u4pBB^Hyv$x@Tf$53*~aB9?W+$ z3KKOybT()7^KV zPsPtQbs}X|wXt*bG$5x!S+Fo8D3{5m`EALlYxemV*R6Kq8dwZYvk>3^N{H9S8$Xe~ zyB{X8aY@oF9XB96M;h>bcD4)=H{SfHG`BpJ@$SiEri_wn-(4@WFkr<7UWhcd$Q$d+ z=SCN3mC0>2bY1XGHV~$xM-#%s)wbv0UGTCMBU7#bfn#(h7GPp@p9JHQfBbsAo8}8< zyE6w?mLj{jFrJ#3`LQ>{IhOuMV{cyYUCY6}_)c=6_!ijJrj$gzWE87!mVSm2p4KI?Y>F0KYCHYqecxzWiN(jwgx=tb+)k}}rV-BbvnBADEfCu55D5=AU54o>?Vk2?5Y2`8E`{6$b zQ-G)q<`vvD`Y}fGZ=03)4+~ z`#XJplx@me>mlv3?0oBc-F_GH?Juy&cosAMYX}5zpK2`) znPR}L_M66EAT1Hv$9)b>d2uw_S51p|B(NJZuq?GOzF43>Q*$VI!MO_nb^iW2HYk?H zke~uCP&=hRhA)c4Y}H&qXiRrYJGr07x2k(;m(U{WeEushAk4tD_-6LJuie54q>OUe zV=iQ&Iypy8A)Ut56A`^3>6%ic&Y@+@tiZMqd+U|%6FNwGLMy)imm>5C`R0E=Si5=j zV-urt&^JA`U*h{-COFUD-|z}(4R{JPuhQt+^$xj=>M%@)@1{JarX4QN6l!5f61&8$?#JXcjb)p{ z(gy3ae92B3>Rv)+zt!Tq(AS$?{`|1o#7i5Jwhviq;5JQI+ahVoTvnzwwA?7&O_zgY zXzAAi^Zrsa3bJp7)ffniCXN9k{F=l~6TB14yRWS|-kp-ibeHYQ-yni{pv0rb9&aOE z;h@5v-S~;tXxNzMHk<@uVb5&)e~JiROgcK3tnz2K_&U~{1(FfG^mMHwe21)2&1C}i z9hHRlkG_rJFJS_CO~$(b{*S+7lCA+MbfKRk^p1hpWru{zKK`H?zDJ-$f8uv*~-*W8Y7`oN1As!ktSusxaA0-}EI>DrlSHKdQI{h;*0ImfdbC#6PCO zYH0sa9+Z6tkJcN#NsAoCX%hY5LS7-J2{zPA6K_$%n7n7s9`H z4kxVWHQz(N?c)Na6hV3c%Ta&I$j+lhF}6<=bm_vwjg|&dvc2_M!(o%=0x5#8D2lP? z;XG)RMPh_F9&!L`(0q!}3YMvV*w+FKM~7eGjKv)oa$%3D=HXz8D4c%O*B~3sxQN!z zb)-H*`sMl+KxjR37lMSnFuu)9U8rCIjt#0~qOWlaA*#2d1S-R^AnR21nd)%R= zgw}LXsrg(!ju0TVVZbb-*(+rg+vWO4$=Scexn~8_DcTJVKqVImNGLT)-BuEwh=uV{#Wh<}mW_{IjXljtk`Lr!%j82akb>t<^#4HWR+ghZ0MbQqlehWs@8E;&{I9IzptY{rYXjd!e2Q{GQQ zitv(a;i<;+?W=~2itMooq^Iqp$baMo5taCIzMz;)CP-baO!iFB^kcdb-cT?zudItheylXXESbYo9ystoajd&b zRKQG|sk^8PM-=DM*gAlO%#+NtBlLTp25M)G<$Juv=@<06lH-K)4^l5Gww&XfiyQ5& zR}stk7KK6~D3BuT^FY3i^2f&(gW{NVLp%DJIv|Q=9^c`uw#CeC>j+W|_0(~ccRwy| zKW<&5eE?A1!JA>yIdAQ8kAL(xC>A+6QVrXR{nbluw8_1EJ!FPMqqX%Ib=>sHU9zY2 z+%#SjI8w~CA*7iBmJx@RHNc+IHvpp?S!_Ns*B8smXuaJ$anbF_a5B`Th&a44dLhKV z1+i%YB2sNpfR0mNO-29h$~!x6ddOjOjs z0|J|SkYdMwkTWS>$EVR^5MxE&Vo`Z|;XeS3^_9Vr>JSruyy* zJqt7J(-p7By=Z2VB^m3tC>D1~BZy9ds8bUaDh|nJkefyeBspii_DkT$RaG{*zsca@56Ja$6lZ^t-+aIufU`Mm>m+ zPR(3ZT*19fU8ul(i<&8cbetUt(&Lmc&@%PKf)i~x%}3?aI?$4LjRh9GiGC`xGD^)C z6pdN}crf-|Nw`yQqg)0}z#_6G8#g8y0;F{$~3ZOcP$ zQ7xWU#$_*Ak{91&YVZU;3iI~DH}mjTv@1x1upwnJ*HzJ|8IndC2DCz?*uIZiT*u|# zTj#{l|4E&Sf=<@6UxS)Mht145HdRD*i4|&J*RK)!-}2-1&>uJ5-1+3Sf~nrVl2$F? z4f1Z%2bo=0W#r_7H(6-)Qs#VW3Y)m#G!pUcl>IIF z-A94e=+1Ay7tw4TD3MGebQ2oVq)O~R1)&ZW@kwUjFij3^eW8ZNf@}W& z(XgikzA0R#Xx}hw9 zZpb3=K<+Othp?z=0-&N3OAlVt%L~*AyK++}J$T(I9p%2S)4{bQNc-@2-jG1~ub$BI zm~{XvjK7Sw7WKtp_O;Jt;|@$=;7UX#HBnO{z-l+-q`H)skO9lh#;%$Ook36uY+nKk zA*DV8-$~W_@lgWtr7AY@pY?NFU;8^QgU>nTF zBirPh0LfNoKBK|BLYh9Dg#vv>rWAQ&o8eC8X;vsX!HjvZtaH5;4OCeNrU1B(s5{R> z4F`TgJoRdY^rk6@)bUM&Vd;GM5+Y4JhI-_Rh-nFDTyESRIf2+sPVg zE)MHt^tX?Gp}P2kcP%>w2Vq#!DBONM^$S>r&h{omBsFs`Ue#4-?OV1gi;$JR#}A&uDJl7xddH{1QwA zXRD}l^a}0=?UQUDr9R}2>J~LCygafYmzHSsZe_P_5hJ1+ry$Q1>x;_|k8FW3nPqc< zZ8-nU@bE@dK@DHA2q{Q%$XAbHFZPbEvD*T3q)K*qKSt#r*`Y(od5)I|g479>4gkdbKFeTl3Au+KEF*?b$DlzmIFxs!C?|3H+)yjm0`U; z=$E4!PFf#kfON2yZ=n>=T}>8KoQ}{;N&Sh-#{D(vfML_- z*+6wE@hlA+!!6IAuJ9Hp@NS`m$!@&+&z0+|^z819%kDRGL9HP~<4UH05wiiw9?gm- zq=3qU4r$&?V`iX_WkO@gpdTpynh1HlFPz#wH`AizeC<(H>`CsRgyiQvi!=X0+-|`k zzqL;6dJ(+>GGWr+zI?*lfT&C^X`_9ITwWFZ^W*Ifmcik=x6{noCXw>i|3L+cN9}?G zU!D7p_K&WKMcI0MZJ5K;wVZSIH{Z*UvdlX&Vm~rJv!M&?Bk7CmpV}w5RE<0f3mP2$ z&~p?bc(7y{kM4irubSU%uM~2Ab?}e+GfeJKPR0-{i?I4833b;fuk_jkR-=>SjyC{q?374+c1UBkkuV zK^zFVt$RXJ-;#SdsA+6gqflmdLYX$=FL__mXByKpv|OBR;DkC_aXB%OHm>oUKHD~T zTg65{heKFh!ISaWRm%L+wQNc5O}Qp@8KU$tWch5@^0B48xbl&8!0KE=2c2FWf=V7^ zUDr%_CFfr#J|ovEtm>wN=@R1ZVt&GjMWFIkqtJZJ0eR`}2{xK2#>3Y+5*~Lxf9N__ zJd!k0q8hIcLWvLp3YhKtC!R3&#Zr$P5hh{YEDhG)#93;A&Bw&6?L^oYMAm z7VIn)4Rx%c4}+VzSC-5sMGLD6^Um2oPP0u}*Rslz#NH{vG zKRyJL!`rR&pds^mB4GT!_@{lI8LlX|vbpQcUAXpL55Z=VONrN|Kku&^{vM9f8w<~t zw2PN)|H`SbjvA3Z1U1)Jo;qJ@veL${^Jey1HzJw_vf>%}-N1eo9>I<25-GBa(lnv% zg@!}WsEFF_JaD&Z1c{I1!Kwmjq3M)4MmXi7!)YiNg4(U?B#vGppPY6DD^*%pc-%|L zPJ!2%3k#?@|JcXok^(V>a=#R50pxShn&XN{y02lt@*Jtj=Y`9g_xjz#b^Lk~CNiUd-}; zP?TKSNQ95ByG3HGGAGIMc&3mKpgUMVavoX=$6=*nRG9qk>g?RvE})aZF7tw7#kbOE zG(NYN*OxGQ#vc716cQ%LwRdpPo=!m{6Aq~H-ai~K`~li{j%xTktwl}}Yv>}`O3*DeMh6h2 zbwu@1ne4;)vkxvc3i^lt&5V4}nuFQbnc4*&6kEEsusUoTUqFF&!*>Ccd1hwRash!$ z&0{hi_S%{D*_B@NymrUH)xAExlMS6w)+<LwCGOz%C(1y4ex)$rjzF{Hdccf&OdRp6Z_Y%7)MZG+=IG) z&}U*S4#UzJgz>YliDVnGHt5AvDw)Pygk}Qp(1V*p?W3~tLf?++TI33R>RZu8`|z;; z4K!0>vpjycAG@vNuv3hOAfC*~eX;~j66~$DV?5LK{XxMNeM$P^{LdHCWUf?$?EiHY z)I?SjlBi)KNqwf{wW5F4?z07!smT+$omW2qDeWMyA`lf)YPZ_fnV{gpAdMwM)I(CT zXy(tjw?s%VjXo>u$Jc(L{VV!$`42(=#3JtZy5R$FU|yLbsG@aH(*({;&vk>2BR8yY z*>JiL59EoNDG_h@Mim~KOAzWEnks_svLxXY741{d2(@x)S|W6O z%9_`h>x(JW@s?2S}JIGy*k*MxcRJxP&fejZ6mqgg3->I~T#;3rf zQKm|)<%-Z9kh8_PndbR}ua4<;=9dS+HzOR#4X22{V01zxTJM{dq##t?xV<~Kvdf_- z;tebDZW@a4fp`MGmt1KLKCCiv)(KLS_Z(;6aMGy@zWrXVbiO?^SenYc?(x@&QHgiH zOeg7CIkLi54KWs(e_d&=D?3TxnH~&{z5^Dq!MmQI;JWj73(H2-sLE53m#z12Lxh|0 zy(2i0{5PYSm3nEn_(8g~9<%%Y>wxrBfM7R4 zWgPZ&aLFP&6)CdoNd6-MDkt-wK){4{1=V*N5yGKeTOee4O4XawW(JY^kytvVSH|4A zG(@m>qK!Y>`1HwF`H!QQ*>BaKmtR=1Pv20uG%jm(GRqoPjm^R5d#Ze296an3KXz}<^f<6QBX)AZD{S!5iDQYtgTb%eg>79~ zw)b|0%#ONzihO(O{Opy&_Hyjl*50sDt1H^=%rB?St4y=(M1J>t~~x~Obe_r&kznAViMeExqB$MaGD@SdgHDsFB|40Gwz7Pk2Bjeh4v9|mM_ikC7Xt=+o>YPU+U^u%e{!y|N7psJs&=BA!qhsl}EcL@;Zer@Vi4}?Ei!G)fq=JS-Fdx_Jaxd@627oxA5qI{Pn9)**29K6O_B#Tb7 zs@=hIIV8+Ey3c*OyElU>0J0jjZ9udu!OYNxfhx(OLH)0|TQy+R-2VSUNNxV%fulOb z-yWu1D_oESm=N{F@2^gO<{S$fM4mVnb%!x7grR~qodpKG*%s{Xylu=6n9b6Ddnwh{MsaHU<1d4iTK=eua#NP(yzq^rj&);;cCinyrL(~D4tob863a$X zS2$;#>Ek9Wbi9@^opnYBtEz*Ji-G`5G+KPWjh{tdyuEX~4VghZyG==0P`1tkM~ZDH zw4;ii4SP&~plLpg$|n>G1_B6~NbIbyUZHY41_;u*ZcncmB`WI} z_)T2PzewhjSus($Cx%%7zPRXw__HW7_f`;UKXA8T^7QpUdh!F6-tgV@2yqy+FDr=g z3@J)k*QzO6bc4gLX0TpWV948Zv6?dAGo?Yuw!W{;-jO^V;}h%2FTw33Sq3bY`snYa zd>(+fR|d)O9rQM5W*;ww4S`;_q_b0jD`aJDjxf`^oX_ui?NnV_c9nx_3v`8U{O5um&Dbsq~f86-E9tgx4NS|4a2dj=sP8DJme1Gf$Xp&OX2z;!)bRuCT zu;ONVQ$QKke{f(5C&!jMM^ayLcf-AjDb9KD5={b^@D~!4x>d#AUhsnP^~I;vf4uds zd?j${Skou^Mo}CzX#EPdYP@n0r>`EEFC?nE*|O%V@EDPC@06H;&ul}T#~-{gL&HPM z&1RX9ICN3Ab3Ro^wYU?xOZILOVk1?0xo?CqYFM)G#84N3`XF9niix&t!||7 z@tI`!_I4p~xY^xgji=&4es7WL{DlnnhN4$vFr^rEm z`Cr{&fW-_-B)m&11{s;88C(t(i9f8tuIRPVWH7wWB5JFc_tFb{SFsa}(2~NfL3t_8 zpWPiluC=0})!6FBu5$uIDwa?4_H3>_eVe)pbGDlCSjh{z+^c#?FMfNHsudtpro`nhas7Iymxqgi9?G(0@J_Hjg^C|^m z_Wu;SyJQ}`l9)fITKr07H0MiG(z?!Vj2NO0I+yq)`ml|}cs@Yd3}>k}Ad)%>+|V@q z|NH?8-HI(o&S3@&NNLzX3xOeoSRdF&R)q8Gf<0^o6m(B+gR7cfTStGi5Ctz$fvyt; z>WW~9o~rGZtI!wFIhWulWR^kTxv>u42pldA(t~n_a0wYc$INav=$<^X_JTL~5rrIR zGI=y=!o~rPyg}JcO0fW|GyUT+7^lr$|6S`xpZdiKV~&0Yv9y}iXURAhu%W;!>tHJW z9F99B^@o)W=joFb1eETN%z5WQwD4-u2+0T2@yE?Y&~Mu_IzJJL3g53Wr6mR^uvv7F zMV5R3RN8)v1MRzY=*8OMvlZ(%p}2F>eC0DZoil>x$evBZf0ChZ{WRyUHt2r9r& z`E5V*OO*Rx6C&;CUYdB@(I*@a@wG@?u?`)f zB<#BYENl_EU#^u8aeI$NGO8V#&q{EwyW_z!{g7y{d_ep#?C@v5z$}^QFKIQXw?)3o zfmRNXI2dsLQWr}b6K#^7(3ugvDi;+tepHNj`tI5OrJ-ZD8T%&*X}vs zsNnwgiDln3O84lC;15%Hnf`cQ7`u%=Kv2y|x=!E*)eUJFF$@azfRt$&Ur}L0s^XfY|yWw7(&1VL(9oT4aSQUGqO9z{74dO52 z$bGQ+Wtw{Amdw)mat3vd*VPGe!~b5E?%l&xQKip)c`}+=ey4gRK@6D{gX3z z%NOg_XV0B`ys85bgfKnbR}9W1Y*MjPU%1iNjrqL$0f^z39w)tdKcBVXhRf@WIR9Lk zRL}BxGx`d+GIeeLI_t5r%_+z}@kGNqh*L7z@IEFWTrj^ZxQRhyZ- zd^@K8ZY@kfo-+P+@t=tZAeyCxOca;6l#l3*AsY+t5o_qPsqadYp7sSTR5*v-zY!LA zqI-l6_qv?vNp@xdBNd6_0l&?7+YRK~cfa{oo%WcZvaFx6Y^~{OaDI1qiH$_~meZ2P zz#k3Nr5p-mByz`RO#31h(>hs@>tX-R>iWH5)t1}Qbaz=}nX)xc7;7%9LeLjFX1Nor^W}bsqg!5TzALjQKfaVN zX}^!_eGpr`{3YG%gE^-49}X4F9EV;CIjMFzwKG|qL3ga0cwlm)BLFUMM zAL$Oo&a(Fovd^f}sbHoJo-5uC<{sn3=Rw6s8pu-Lt`^h2m%Q~1>a)HvCsqIJ`wo`G z7t|(C?jFUPg$;6p{oI|O{l6}MxDAJQk{*OTMo`2RMg7SZTP{hX=*b+MX|)~EbwA_4 z;oE0E_rM4QLI2m@%$r=HOnuOft0JCL)>XDJF7Q-_sWf6GDfCA00ESp_*YvS>bM zi^$T9S!PKl8I{uXM9_MnImZs|AlX1TEiMGqPJCcYzGq5PUm^#XcWW#-HV_i$M>A|w zL|M_|r*r4L+*K(i$ozP8TR4ecj=!3MYSos@>IaW-wy_b2waiCS37m4}PO((__7;fN zT!sQ_%B*5>3W$ms=#QstVi~cs)JPHzGQWc5FG7|>S!n%&-9jD+L7&uWbO}LnU;<)a zR=H_3$*_N!b8k#!Qud9}>CkDuM@Z-&!ex$|Y{(I~q8HyuNNd>6M?@45U_G5-PpC=p zH#XefeY?oPc*#KMB2XGajPN;rS;HAOf$}+&h&oQ#tTv*FhQQ9q{Kf>iPZ-tBE$Ae( z$7h!#9wdZrLkNkNOSqRp9KX9b6aqnsOUhJWGwz3Ke&1LV6sur~jY&`xK}sTBv^q)F z!iw}O!ga~fy+#<8i%k#Tjpc!+`b6pWC+0Ebv+em{n?jA)xQ)gv0^DE=e1b2h}XPwqO zB93(-<{YXTi#|F@rnjrUxkln#d>dm#IZ zP%M?%>@)xiRWGN(2qQ14&hmdCFe=!_kJ)-xk6hBS_{7*fBEa*XelW z`8#GDG1w>H(XHm&5-^T5VKpjTmI;j64e+da4bnvQzuUr0@q^sGHSnSUj z!|Ugdf8^%WN>X?Xo!&)9Q-vPZ_Z;40VGK89ZkdOtxWAudP5oGDrh7`~{JzOQ=vgB=J6e(B%=ZRa(5T@uu|M_`PFa!4kNy-m=wA zTisf(L#aFBEAeE$$OLNjxp?x+05qoCUGLG`JRzx(wp|mgTVcy@dY>J&Hzl-|lVc3h$$9lWBA@$aP#n_7JBFCp zR*?$f7WaL8*wMDh;c~)WTInP8IHQP#tKz@WM=OOtMGv(J?CRZxsV5bjaiV`4!j$s- z|G8aQ(YbTdK6xD>TR&%Xynci)e^6Uo9%t3L<|Rs+@T1+@LU*gP+i7HLs_h>waon@m z?OD)J>;3b6`ivqh35z1g0XLd~?~n0tuQ z-@Ug6_nC$(5f}6G)b?gJO6uu5Su5Nl=ZE$M*GRBerh7g;7C+@(zW7IkpsHE=_5a3? zSJ+IeSGXm;MD0g$Wt?z*?3fRH*s^{+QjF-QgC7h^JNZe~Uc9F{{wVy0i`f`z>h>aA zXBdM#R4$24kxMep|Vv5^}OzVS|>%F{$XDbf|LMtmiKFy z3Tvt=W77_=rl4Y@#HzPvX_qZ z@?;wnsdyaFoIWyjs%*L8>g@fdKb#@o3XgWo^H+!nF_*X!seir&E#;gqbk*mmy-r}7a#=CS))yIpe+#w7Ng4y?+&ht1sT?`cYD}S@ZV~G79xskucGCrVEgW2b@Y*TFc-4^0ji~zWt5S zu7`5F6ZbM(=k&4RDSZCj_doU-tyNFn_Z9652y{w4h563Mf#i5R!+$?vWAx`zx`kA+ z;P4+$hcwK&R<9P``{B!sD+6Doqdz|sjEU)?&L;d|+aQlc#~GcWPE)7bw5p#w518tQ zNJRE0rSkcUa6RAjdPiD)UNBbT#5k&aR8c>*FP7Z$p~Jtq{ebtCLTr7z^?SBdo7$X7YFLZye~{;2z(uK8 zVcX5P{)t&|qZO@qAvI7@j`b|byYUWD*MZ8)Dy}jkH+$G@al;f|WAQ88?y9>x!6Lh# z;A{$q33sD4db*a(>6kDDMJtQ0u~^|q&p<@LoA5^dI&h}cN+HY!GB92G808*SY?mx#)#L{-5VdI4xO2zL_GSc-H?jwF zU^`aX-8iA!8O7vodWQYtStn*7LK8vEi$tJ1F+ICqsGi>i`rk1@COGI;3tV_viAVR= z8`&QGt&vxk#^Z~|v&3PSQG5XuZy+S#_R5i_Ny)rcwFaOjPkdAlEM^@#UZ~w&(b1f# z>N=P2qS5aJxW1}vHV)tQ8vdzRycU!eeEflu!29tr*e!)SaCxSjOo)?7GV~hL8GK-m zj5jvHCQXHwn|#;Gf^;?o#FKY7-)?4oxgbf+erlSIkb_vc_4&*zQK!F_ zPfu+yv;cbmSPT2+g(q1ngNbp2zL$x6AgrDTayx1)W~7l$&n^0;px1<%5+7P6;i-JPTzmB&=EQgyl$t+R1QQSnp0j zyMK{Lfm=h=JONpVDtNCko%zSR4R0U<)CS)@j-HA06l(+D`3+w z=$}u_9xEE9gM@o*ZjEl~)=AMp=VE#M*JRva+ho29NJ>`os318HLlL18Xs|ZOh3tNh z9vdAx6EMO3J)e?Hbd>{7lVz;00nkOr#G_}P%mc+8u&EhT}4f&rGDK3^kGPW9?eR30c`|b60>1_Y!14>ENGzKFj5AK zs?Tw5YAN>sWRLPX(Uj)rI8kG_2!`ijSjW!J{_O9CWy|V#gPagI_k0FyKGvLSN{?3b zZiSIo8Ybt{jL&11hEsLsg}+QEGF8*A&8Jk+#y49U-qfS@ayRM(>zn__(Rs&H_4t4M zaB(HGu3VcaE+ylNYmd0s=E_Kt&9!$%bWzmpx^_m!71yOgLI@$*dn7AlkH{{O&+mMH zfAR2_$C>Z*dcR)J7f`vat^Fg~c0OBs-ys%ziH@{_hd0zZbXbj3{A&w-d^E>g>9`Ao zP*4v;%rsbBuQi0fA06TrfzJ~h;Hj}w8OeG6{Qy|nE!L#V1ySv$UU0#dO&3t$61QT( z2Y|)neBm{Ed4B6HNct;25kH*sy=Fyz@RIY|QKGr!7U2-5SoAEd5*ymCDD@4?3M~Wp z73`f!X({Cka(mz^=1aUNAHgz>?*erM4^QzD4onjF&28y~y?Mq-0)8Syu`e-O<9Ja! zi0~uoV(f}*|= z*LWG2H`bk_S|?=Bz5HV3Yy`wH$Wc6I8Dcu9dMy<@_;LGw{05xAd`PKLg(e%>3j zO7L)KrLWpD(sfaPuw1ykqN5Uo1iY9Gq>PnK4Sd)}RXFrM>PWOK zybQxJCgvLFhT}YR9E%H%p9fR-jKNRO(_{Yir7hvql9J9o)~KBVwjOO$D0CO9d+EWW zsY{zD&r>XKOMce-CIVWglzxCa@KCPVrU#w4l|TAFmAw#^W3?LEH2*xiq_$k2WHvyC zFk|-rKTA%Tq!C$#NQR8$|_e{=igqtEqS&aL1+e&X(? z(v@$q;eDP0z)ItC$#dkRVxbm}p)hDNh1%Bfeb63k+`DiUx`Bg=oTFT@j za3or1ccN7*sI4c-6X>q__D{a?NcE!Ik7teDRMSL>)h7a<4mJLA8Odd|`pkJy&_ z>6&N!?t&--*l(nRN!5K7rxlVzeN>H;z}UU1_J6Mgw|LD=-$y8zs>S8Q4@+FTp0b7& z_c5T}+<0ffTmMz(GV!0TiGIKD%T(-4k8|Ljx{WMo zD!EiV{#>g2^U6o}0neu&G7D)HS3Vjkn9k5%sQ*pAyjA~pbI-D(?OjEood`I(HO2(p z$FdV6C1u;UmYS;4ZYxDwtFxltTs{~r(sXKUAG_(rXmTgX0U!~En587J?9HC3Wmh7NZc zjbmx0p-|hurfj|0c5hqbIkYKOKk2*0rU?Z$UH2`g#8S2g4K^lbg8 z2uPvX07V}azR}&J;-q0gQO3qaKbS@xTTKT!L0*dA0GO#W7w8KRhAkvLOMTYeOZ zic=G$A;W`J5qrf;{LjdA$`N^p2M>#AVyBXv+%5T)he;10pi1~W{d(gLdedC@fi`Ke z?AA7&b9A1EtD&H*NUI&X3D8~a4fr0qK(S?PRc)6a*vo>`Ve`{NKvwhme zlTjfU7Q13z=L9bK>buvoIn)BUN;!F|B)xrfj2VTbK{r+cJf^2J*%**Sm>VTU9h(H8 zO7Ec4!JH5zAQV;T=Q=%=e0P~PSql_VgNa~It6d4s)~6NrDGlh_vo2l7D)c_#2Oso4 zxKjny5*nshEf;XxEJS3ASj5?ZujI0Z6oj>#Cu>KF%9GYDV-TSNjD+XwV~uAP%ana# zg{h$7<)F2AUeYy38j37|f=CtGt4#0CMDzrf=vnCfLaA;dVr7639Ol=SZ3f22pfCX( zW|5!|XvlWN*^-p8q;PBpE68`#;Y~`^zb#Tgb+HmMXG#G{E^qcKDSXl%Top*cW>N>9 z3#f$d6z>zwNeXW7inJS7YXE+=YMCV_ENUT%?*j|Kd^20q#AOgU43angO}RUUUe1{v zdou`Q1X_wz=CP?H1h5D`(7lVim{>8zfjK-35{LZ~`>BR@)jt>TT$e$IqdPa5HNc&H zu^+j_1Qx1ksvMCW1yF?*NC6z<0T}{cc_CMqf&keUc&~L0NPv4c6jt}Wt4L*6(5KAH|PSwd6kj~_cSg(d$rKc@<}C#CJ_ zCoz5oWqbUKHdZ4chau3qC@Cq8Wx;%r#m{hPumJmY2kV+bi|V<2f@N3zFi|vQXt_*f zoZQfu=F?Q%vW{Zx5Z_RtPz>0!;xAwzrK8|~CfxE9r^(NzuQ&0NDGY4wka&FU`6%V4 zcTa1aJ{aGFN3%l;R%v58sbZkw30zwA;{z{~P%+xo(s7 zbd6&A1k=UF!(wjEBKr7#4b};n?!V@YOy`67*EL(Og+Xg6qK)>TyB&BO?q&uYfN7_;vdFp&<+oOfSi6P5_vX0{Fks>JI3RF0g?DiWbN$DT2$_vuTkQ9wV$!Xf5)a# z7K@Q^SC?af41nTus)N+F5_YL=% zYR-}s@3EO(Z1+;pv#OPQh3Ym#q>&pp!s34g`HaPUlRc7SJ(x|WhClZeG>U&mowA!a ze)NgxvleBzI-B&<*_F@`cqA9b+rrz^HCr~F=c$VUNw|)AuX`FfH2QcXjd9qCU)E^~ z#Zwg}wmJb_T@8$I5f}~j#Yck(>%8GBPdJ`t?;&C@zK;#bl0|vK zyU>AVy5^651txk_K3D(MeQavhj`S{X>ubCDIT^-G;f0RJLWsTAm zpk8MglzFhv`>!%1aU>J-`LxDK)mmw28Sev zdAp1jQ;vn|Siu_CmHra>JLb#YZ&lZSm2|J@_GnDC>~2}V5i7H;PV7&uHInPKz>bRY~%f#WY!yniEC?k91KXDd!0k_)<|1+tB`1U609 z8~E30I?eh4ktEjbjwBar`n55z=12vvOaM5eym%~>?^X3HIs}*?hvec^oQG&13p+AJ zdKi%9{b>oS`!bU> z@0uK#F1q7!t1H(E3~hLcA%q-Ah)Vu00$M_8?A^mpj>$PSGBD(q($=5iG;IANxw*sa zVcqQt$OXtx7sCs z@T-ex`>@o6f&OQEt!qxdoD_>ObD|MZmc}ef*oQ;2vD`(A{?}*mf)dXzU$_A+Eh$iL z=1JY|1gm!+n|5L5Nn^m|?G$(rY~IhpCPvyqZ__~f-^O18aK)5>DR}fXRsUGjKUrU< zdI1mPSca@?;T`Dyj-Y@0;mlRpG&Rm8?1@t}AN!iRuL{RInTRlh@(D+r@_P^6RlYzY zQ|96fQ5n$Id2s|J5x(_#lgq%HURwLLZ0q0$PC-Y zO}~RBgRER;zGQudU*HH;kNAy)um=(y@w7#zs_WCS2*EE18I5x}BlFTie(rRvj75vk zN*FMg6^i^hLPXxmdzF1ov5O2z!L=+>6qJon4G}{$zcl%xXGw5Jl4duV=AF_I!7NbU z*!Gg3zUAN#(B{59_83?lf9(4b=Cs;PhKMPWi9G%tfoSt=I{8qQk>~+jC;$3u8YHtn za9EPLg`EHLfdz$EF{K$`P%>*p9Z>z~rT_Q7bm{CnGg!=18s&DbWChWFfQH%T$M!x z?kx~Ub=_&4=$x~;7>G-b(RgthU4-)RNHiE=wXrC=oGNNcn>+|6QK_ue@gUIR(}AB6 zFama-Ixm(X1;PrEAf&~Xc|?Fs-%1e{n{6+=H2PtLwR+nfa_$e9WnR~?=LAu~Hx9vww^1yyiiQw;4>%Pj5gNiI~fr8|Ndh^yTlk4eB;Y^+!9T>WipeXQ0 zq3Fu8h%DpHx?u})V8kj<)~Z$?@bjAIsA(yF6Ijy;{Zqn{8T3QTD&tS=Tj>=#9 zswN|^TsOj2u{wi|0ba0ydg z%V6>0RqUjCV7e0&+`#)iL@u|HwXPUIA-@gM2~=+p1Fd}B6SP7sRkd?!rRZZ%s4BU%3VB(iFA;OTUB^sT!n}=&D@9;9`T?W;q zUtmk`pCzYk`wit0242|3cKQ$d)8qI{Sc=m8m=6Ykyf`fCSXVm=H1b|+eH0H0W%i6+ zT|S4GOroNyDH(ju3MOSzi!DN?fU``J`KsL$9L4X zn$WF}_qz%Ug!Zbx@iQ(Yo#(jMBs~@{f$>Ruyc`gUe7vFqfHsv4#*)cTUXW;GDXi1n z)T<;%)9;BkbQsL6Y$(NR>xv1aMpM=yZZuiD-U6cP4oUk%y{e*o;ZeBnx*gWCNqM2c z$>=?Qp4KB_JJ&I}b4{2m53$7TcScC!*yB6*U9PrS-W>5Je+pnpcbwf`nO=^wv{1FG zESlC87gX$hb~SfjxL>+_*qjsEbai+(Gmw3&)TusCbRs3`Q(A)#uO)uvvQVDM)l_ez zYO`uAm-K{>82)UtJ={Jcp!yBul1C z&=K9JDDJBFNTp*$_DdIWedvJ3WeSHyLiK#(kW>J8rPsnVus1j_*Dzd6%km9bOGodF zc1;UJdcgmP%u|#p(s$!5e4S z%-KU>ZlqhaZfdW*cRX^WI$^A5_I?fKy%C=aN0<2&Wm$2ueVxx$;lZW)%zlWQC#HN~pkYg^*+%gr)Cu?ECC~q2%KfRwL^PnSNTCDg3I$QqGo`E|zf zsH;2dKfulioFgdV?<&Oq$o&V1@UQ+0t38XW*_dlHJoNjhVH(y_oSfRvL@g6P86#B! z?KFYo>H8${r>7H0;0Ejli%F*Ux<{t$Id^lYI}&X6fVd9_T^Kl~hwBrkxf3c#4)2~)a64VR9gh9oF=o(f^fR6u3|67TTd4C4 zf+Z!B-2Q~*Fr(hzCA9LyMxnnlu*#S_iz@7j6k>Wp^oFsHA`o_V%@ksrk#HyeyUGwW zvmk?nTNJqap!f?@C3+n+Sm~b=SV#tmY1RMgeNeE7>wsv&o>&&o<`2mb zQX0{pU>4n7)a3zO;ckV+iey`fz*y4&U0q`w+ibtooW8HN25V}u&gD|EtLg8tNzNdY z>+QLPq?>$9;d!d-F9QN+@#kbdrSU1i6#BssItpZn1R&lPnQDGFNC8cm2ZhMDitR$& zWFUtLrwCi@ZWjhgFq#zb4xxyEQf8?+MZQEd1^|tk7~L=m#Rkv{B?lFJ965jinc)5o z=iY(@u-FkWP}|||D2Ea6B5N%GsTSb^XmV;t z(qtAP*$Jfap8f3Pd)7Yu8tWPyN!-o32(6zKv@ya7%8c36(ns?F;xz2Z!pvYInO*7c zAyw*pYECNV^`uvl=9)O*EMR?{*gu55x%`;Hj5wl?G5VB?xa>H84cssH@8LCOsxQ!P zTmB`Wnal9Hvt#4;+Q8<`1s4(O)y(EcLo3lQc#UDCgZYHL)3!|w8sWRt@l<6^P+#S{ zz=m2x&Tt59FV+BvHb1CZ+T$HlVhCB$JLLw;> zRZ#GBu)7vKSfZ`nst7VIqnL`niS=oV*`FDSo6ll?gcF=#%dkr&6F$G<_{Iv_I^PI*-9w6(wJH#RJf8L%fj4lYa)#Z;gu4iN)usu7SovL`1YW<04^g={@o(5wgUUd!S zB011*zyipgyKxRk{0NnI*t+gS?^vsSHf)j9{!eK&?Z zvPmzkc5=ddDu;>uTY~&szE@;%ev5yB*y}UvZ16jN_r@Q>k#+T!;-lUob*-4!-~V_T zee6YZO=j+zp1|q^(&mfPuF$S<6KrosBc^eLg~=&{ z2LVZ1^&#airqMO;5+hshEQ2c|8t7Dc=pz#YeuR`@*0!2g-s`3(zV4rR&PM+OFijzZ z>Gu`0si*V|LkR;mC?CP6-_(DWlscwkP5xwAzugUT37&cc*|ej$xcE8%q#oE4^u5B= zLwU8OteZ-bAucX-f&;O4MLOhjFP#t7IZKr^@R*oMY|}Dv+ECq8tdsdxz9GO}6V^D* z_+p~@g7&xqw1Pj8>amyW*zZ+?ASYf`owWUHj=mD^v0gZ=!oh&de93=@^;bs&RC$Kb zy5k(7BF89FE{Plb^-UlhvmXDM#I~>ywcY!#U~tp!!O*u?rxwRc)qmOkW!DcfuX_gj zU)z^jBXf5;n&`9YHGpTApJrCQ+O9TTaQ627Mszc|w9j(*VP#A6j&SzoTVzB+K#2XU z(MQ2-?Nj`=XVK`Xa?$#q#GjtZY>oTkj*nQ=NSU|xsBz=>n>{)H0|J-Tb1lY^ijK8h zHnxK*8m^d3hsFN@4!e;lwRB<{_!&dYjJb*v z!8XD&uipN{gD*mtQ8f1IA0r54XpKnj8u~+0nW2sX?0jaTH?NUF?No+u4Wyox1ulQ{ ze<$CROL)qC1)R$0Y5L?~^rbRe`ZTH~S1MoPw8je9DB}8zEAWfswFiSNz49Mt?3yRZ z4s|zn>yG&2>q{H?3lj`X!>I5NRVMO-rGi0GSDOU2b{?NyPTk5#si}z-Oasrq;CF$% z10HkRsj9~$ezm@Zsd3pzXWnP08`@W@n>XLiKO(nQc#bwA$P*=d2NOl=bD6$k`-=yq z<&AO$c8EL3-r(N}A%cC5u|XM5pRexx&gIU~b6g4-l39vmaTacEh5Ln>+tn-ojOZR#d;eY(XpH=^Y3oL;{zDh?my$$_`VSZvKf4HaaFv*tXI#|0o6RuT`sf&b zLw$2B@ifwNT(LdOw^e?weD36~+yC;>8~V-HJyb6LW>|$4`6n9?i9ApUj8s{e4YOY< zI*-u-+u+G#_FJbOwu0A2@5vHCCdx^*_yDfOU#lE^^pSX1C=Thz;buZJp;Yu9h@4`o zi+R#Y@lCGW)Q5?ar9_<1V9$vcH;wuKt?MUVb1H*ekg35GuQG!apTMbf^AODqaX{#% zg!q0;B#VBm*t%XVuG7(-CKUC3jNq{(ZjS9K?1N5a1{k{o*G#%6%$;q$OGV&OkqF|s zRnU;aM2}>*co06C!(}0m2Kjgm6y3Av17|a7ERU#kpYzQ%P@6-hvbS1$TP*x4_BF1tK+o zFcitIJVN>cDh_wSWyg@NA~{i??vXm|$fz3l%sQMMV9$=@{)?b=g6hmwh12REDTtf2 zWAm)1ths9d9iWOcT{_q_@+nRawIu-ea@SG5pYy28D@m-NIif!$o{v=hn`TTPZTK6q z?h0O29MI7AE^_ETqDWZ$W(w&m6)6Q@c81y30|9LMe!dyFO}*+TT`<6l@f>X8)2`Ea zjfug?yxH%#BA0;hA)o~tBNMIH zWt_(RdK;ul=C@}X%jjcV7Gp7NAmG%Qb0J%oi@=K3xBWXLe0v@%Xoj{i8;MRx6wOw6 zICE44!h_R~^1xt9*pfL53eE6R5Y-QWzWn5o7#U3!aI!+Jq?72m$$R4LxpkBQG%UBo zmWcsUflO=u;y&o4fgdVPBOVmOm~j*zkQG@ECo>G|i?{aE!U7OwBQH{-37-x|>QPiI zMC07hN)g*0)}F^l*%WBpM~9oWfF~OXY~xTA?tV}eZMFYwMYsA6#_r#;-mj$d9U*iw zoMi3v4vccY)xBb;42pp8yq~z?hbK>&POD>QaItpPZ)N!USaAFV{c@1~KyX`K zKci>ISY)33VkIL#ll|rqGK#%5TUL*eB#AG*uj~NXKLrkXvWf+TA_ap@fRZ8C zDv);w{}H}}7~B`?>V~znLBM{5oTU@jmlw0n>CP|48ZFf!E@D~L!A zfk|zVfY^0YJnVyqUS_@aC?|)RxbMqkO`-K_H+~OU4CsjKZ4=3hxXtb5@R5l@Eu=>n zHlALU6pp?5u-Rv9VsJoKt(tK`?ed2$OU?rVb*B;WkL3KTF6Aq_vp>O)`rDLkpe$5i z{c6lYcVb_2quGY?*=@P_Gdqu+b3I2PDdy?7hmw@TB-bjCq`$u>8%4_vsYzxxMccc+U zIsbDC#U`PZIjBZrgtidjk;`di2UjJDZeOZD>V zV3iS(4cnBsBy%q`wQn7>RXqB1jWShmx1jjl-trNWmLKR~q^L{NG?L1n1!mXalTUWoilswHGwN`PZvCeb4)GaCHUfm!Cs(L3#^|_`|9{YRsx#_=O zClkf71;e9fi0dj5i9@%)y7vA+v+b%>OkL0Tmouuu>AkXkk?!QzO?4qe{)NF)L(t(9 zWFE!8!9n@?LiWR`%pAA+?6$fD`h3Wn(z#oCw+#50ZaO8Ki>rYkKzOkWx~t{jWQ^8y zSyvaqzqH*3|D`W#l9bpqlC|QhmX?Z1nnI3xTbT8R(-`uqR4w#zbS{Xpmk)~?2n(#D z+eDd$kiqKHD#k$%^cB60Tb4;>`Z`xp*&P1G45>f(VYCqAIO8Wa3uu`*jSF5|l1ozP9|Z0C{>-kE z4e&S9PwC^KGc*5Eb?@C0Z`jMFSO89AJRLps40)-lY~v}a!wROEcWmdTI37`Hi8g{jYdd3Wpkc!Fl!Lb}y>j_zG# zbEv=OkUZ+4d2?d+t>gQ!1@Pr17PyYdDWy6sw_heQGXXv~YgO0JI-Z5Ic?4E;u>f)v zv}pjMhqmCbXbnYv04oWQ!<;f>siN5A7ee5xSEX_j!w^ap>ST!C>k8D=x*_;PgzqLg zJbdS@BRTD2GT2PhMkL%}>tYM%bE#X`W~K+%0b9L;W@vlFop3=L=&S@tj)&~!j8p&+ zZDA06E7<^63;N9IL(M0!#P>_4jYQT1pk>m>J$2bXu_H}tW+i>}H$E?uOz*`JJv7Su z!s3AP3oJ0~J-r7aQmUF^M*yCMiOpOSB|?jbN2($=Xka5KwS%=#ZY0eme=)w%3Aasc zmc-XL3xc16-XE zEJN6s1C52G{8VzO$SQE2~ z%n$m9gG+mn(ir`*#ySdW)jNxDGM?2PUZ}W`v{y$K2&JenX9FHVg$3;>4O$SB#~50? zXQVQpgB5_n0HR`%aeS~cxTp=Y9im+%_(HR-_t414_%Xm2aDt5}6D&J$a>*JDfkv(0 zjUfbZL&!M8f%d@_osKPzL<=+a!?!fJkqXT8kc-e@W)zr%T*0&R_#rc4F<^D0@Iq3y zKg89Y23p4Z-XGJ1V4EXD7n_iyrA2`tJW~ONC(tRwi;zE;%r(2)AU>s`&$TM9_oLF}9#Zk*&z`a>ivAs?F^zegS6rwrIZx|uC87c#T1h{q zXYuscj3dIa$}-QlR~f)+w@}v3CUinYEfQGAxVNfsOr>jb#Ka4XDQ&M3yl#oFf@DB7 zTY*nwSrU^S=ZcdsA^h~H%FCp{P7e)uZ}9wZFJH;=N4F-4ANo%==;h&}PtdbIXKBFG z=BAEt)7Hk%ceh6XP0>TLav%wOE{XMuM!{sT2_;3C?P^v$gLa)-}ciJ;WMSP_d8xxMU3Dbw29J- z8wfEwHS>NU`*#U#s-Mz;q7tGXjzEXU`=Jd--!UvGnZs!rAp(s9EEuj1ifc3K>>QuNfzFnzp2*+e(D5AHw!Z9<#8ATz+{L_rmuBkm!H z6R6H6K<*EXSh6XCqdRU;WvnGTcBFs{^l25<&N=$a)4b3zw;*W?FLk!5x=2n8E5QUes#Xr^3CGW0uoH5dGy?WXJhbDmxWi#f^i$_ z;e=8(F|8jfXRZ}nS$nOvk?2uIyj{zBC2Q%H^)N~6hR)yHL60W`F%1pxo1XnF7mdz} zNr^9yM2AoB`n-94XTwSf*W{Xjq5u5EDT&RL-hTawdUaW22UDKMQr_3V_R)WDzC3te z(Bs>pRtK2Mmu7ui*LiTW%02nd#S=m7dEXL)=b7c44?^Yz7I(_w0dj^}ZvfabCGKXn z4oN1%2`>Q_|F$QdK5VZ#qhmv|Uwv6(yPkmyb`#b!-*Z?)``bM$3>`IWnyL+mjEPz> zsWYjpklQqgJ14~_DpO4gmnb)qWR=6MMcg=gk-z+lC*i|fQ$zp#-M3}t9X$7n+`1dM zWFLj7@0LqUf3`}Xd5KNoS7MiY85?_S=~L=WZI$ROp3(CIjwe$+QqKk-M!HwNdD~sn z7_ISYm&bXURQyTrY8o#MAuG8@uUP0}2J1L`78>&D zH$z5kzu!2r$Zf2=(>3e3+kCz!dCgryV)gnP&(saGx94G&FJ1=-MTe9}nv}UM$`_P+ zm~FTUN_`#~?1lgP?4)i!TgvB(PmjJcq7yptmJq<_r}_7rRbZLN(I@W8F_BNMc`H`W zCh^y3qe+i=mILo9JpmgG(dZ9)oW&&ElnykBb>`yX3LYyf8YY%b$(quGFIDl4IHvpO zFh#YW9n$H|xQN5*DD4c|ufFrXO|As*7KbqoyV@T&2$vpQ+P(89J)!9{KISKL;bOz1 zpp%2YRw>vVj(%P)CK7biCh{v~%+WxyP71ciq)+`j9QkH_D&RQd_dAUylz)EDr{LiO zqr2t-$OhEXbgz<&1+)~rRu5O6Q`d)jK7Oc649^c4AC==>4t3w{c|XMzgzKT z?4#BDogF9cW4nMl(TE7EEak=&uH@%(d?~m_)?9IZoZJfvT6NIykBG>#L$Frju|)Tu zRn84+S{LWCI$iVPrulzB`TyKoR;7`p^dikHJ8Tl1G}}0RBJp-qc#go>CqHw;buPDl zX@X*_ANdQ$(hK}igPOpiX%IreJlhIqrHWUL6D-v_V7a8sk`Ry{Xe(W+>k#M zVa&;40tfF!=vOJ|RRrH@aeBFag}OA^F;7LXUFAHx}*JX>&q^+6E1k5d7R z)r%tPF0dll^N4b0Wi1Yau;nx`ls3;Q?V$xQvD^~nUK)F#!1%Uti0)^jxt~T!dyAy; z1rQRq|DEq!qo9!7JST_)LhN>H)n z;f_XE!4+=B1-t;{y8Qdj?E7+Kqs(j6Y#@pM4Z#8>&z24MH@1QS3u+(}>{a$Xx$(GQ z(4e1Owak)>;m%e&o)VQG*gxk(;^3#l?V?WZgvq(IA>& zJqJb72myRpy_SW{J=y@oEwB<5xDDkSZRwZpk!io&o2qfKU?tTj(DZoXYP#6`5Zw=B zdXd%3nN>0Ls3Ool<2N81K7?92D&__YcdnXuxYL>w>3^6{8?)epGWS(m(dvXDLb z%ks)o@ICzauwBO5BS#?IJST2lFS)^+e+^Kj2UBwyWg=iGvms*S5XL|^Kf>8E;7(H~ zw2OTNMScZ4&UwY)3(<_IA}@lpc7*v;veWIrY;-?M`Vuw;L#8p-6>kxtO>#D66eG|< zmie&EZrNB&k;;&?7mqHS56iJ`?DPbL&tjEFoL$rKw|QK}&&Q!Cpsk$;D>H&;_nR5& zyspi|z(}@YK*5X5b)=MsXof&N4Ys__ChHpgyu%M?LiKPs8LRIB5g#^YqsUxcmh8%G zc2@(=%dn>@6hgjwS9Byl%No)}W+uU%ne0U_Rw)O@PQ8%HJJ0G){1`Gna-oTn5o{%& znMoW^Ptnl*Y5#ztafi_<(ZhW}x-Sx}m2Oe|MF^A@qPL-$igM_t5P@?cn^Sm>_q-&9 z8<&$YXl^hVadH0zG`5ARw|CmIEs%!ic$&mu2lqERe=?XIkAkRxv{?Dmhf~P zg{9h=fV;*ZzUm7Do>hSs1dB6A6Cvyibc;)|l7t(S?uZPHM*o_Jq<8R&o7ez!E}CtuV2V%bweyb# zvv)ocY{-K5vJw6o=j66UaLexgc)P-o%?$}Hzs$|_6})g@WAq?0#fOIh&P(f&V5m%;23N$d)h3u{liXg!-ibiF46*RyBakL=uRv zO`?Td!SqpNm6FZG`WV+>U@nJ-8yUa7kP&O9mn>ET;c|1h6%p%5OqwiW4)ZwYmbN+A zY}@F2=kD>>i{sQ!z6#hn-#hTLVlsI?<4Zpa)KIDX)r*r4<>zI<-ksnwg-<)nv6)=x zlp~eJt?QBqwL17~yGwa1({~7-+N=jq1Tq>@;h%cXuA*>qL)u2C=t|v_E3yMIKMZKY z%Og&BN@7rt*l{5r-c4;N*$!SwSP8k-sK?9tIx?z;7*?LxNgL``^T9K?wl?8hf<>Ei zUXzlgK(dPT{c}DT#(KVkq8?&c?sLnKD~tb@)BBolesv#9qR#PeMzq-Q_x&B)*sTt* zDM%B2s_7G2`nJ)^(~VLTqSIV0^0Lpn7r)V%Kpn3@FFyFNdpo>uyw7{dC`IDk!00#l zB5EahymT*TE>X-N*GpE*+>vpz0ma{0#IR}j`mUUB7)KVB6BEEDGQ_TjUP(#{h8^=u`X%E8#Y+_mCv z<_`+*ZETtKI6A-0zO~U^KKsfO_Uh)uaK-FWus}6D;NHYg&Cm_I_1jdimbW1?oagJO z5wD<78zbFAyI*IKi%X^d0iJVHxy7Dlj*1npvMyO!cqVR_hn^Z z-oHLi`pkOqVFTI9oD~X-p#zXXU=b^K2Rq~Ld-tZzc?s=RmS(G z)x70CxjbKQSGVBbOSPP!l{`cd$!HgZ5F1fZoxA=WlEa{_o*p-Qb-1AU-G{3v@2{hk z12ehQi6ip##2bODym-wn)lJ{eGM;W&1p$7IZ|oI))(9FC!S*{-dHI(zB97ddNmQXY zxC9!k8gCoQK$PL0Zt`y(rd}yunL1DM&$nSkpkG)jT^hdfZSKLdAa3vSPrs(N#8^^? zE@Y{LA^mGROL_cFn7&PazOMd@PAt)r@g?OSr*+1;TI6t_qP}BH8a!qKYf+L(p@X)T z&@YIg@9QCI9=-DE+3hmsIqFf79!03Fz!$CC5(fSs7i2a*zwB%>pn_oC1Hr@LN4c75 z!ERLpDot#9_y0PZEO!3+3QJo}wUQq_dc=kckZHkmI~`lma6MZ}HTl@+>0QdpxNvVS z^mo4*C=SXr`kc9bn%uf`eZ2h73vJbZjeR#8hb5B_UnhK{hz!2jW!}7JaY#!2QA0XE zjjEAcAsR?PC6XZCUCwq|&tEn7xrg~AuR6+?%Zp5;wK^;om(0l= zJ^1d4`Pek#c+)DxbEVg3;GT`Tg-`ua`Gb6$ZK-O{Io3bMYiMsEY?MoZ!K(_P9&E+g zGK;yONYrMZn2z&_;@TchO)~IR+gB`_82O;5EKsdNUOxGcjN1@=)MFDlui-<^%fUM+47WVJi=}ZgGo2vZE-t zh+>m? z8_y_>vt^#=*xLLyUL*Ch;?M-XW+zk`lA-TjAlthFl6Tu^<-YAuZa&Bp90wh(8^+C( zRbW`*gW>Vp&LvaoCsx%`NG9+5X)b~-izVs<)pg~MK7aI5lFIZ>p8P)3vy>C_h3226 zMR9TPMBCR!ZzrmU+@v0Fmawq(qDXRfSJR(oKtuJ(H81;_hdbRdXbrC8@s-*l+{do( zk60)1pZf(_)xSh~zBFO>xIguQ-0K~PyNF=RkpW@?WX_PR;@d&jE&1Jy4W{jTd8?#& zCl)GVZx=X|Zb-miAi1ZW(njYynCugVShy``#i9?5e8v}UTnT!2KWeKzE%Mh{P2ldI zPTQbJEmdJkCEXKo*00{$2aT8o9)25t4Y|gT&xxa;F-3eF$Ar3p*9z(dgFmeQ@)w2M zrP3Ek@jLS6|B_kCA1JGS60fdMF%shyup9cdc=S+m*X`sfQ(4u`C|5E3BUWPx#zwQU zNzF?IZ|+39eqk1;d8avrMzumzzDE29oJU4Iw6S|tIo*(@k`>A{p{jJGSgiS@3A-#* z+x#{4=TOP9rPd5hG+qNj5|`?Hb+?yq2(5BW00nm5JfL$n3s#I7!DYPG9Z(~?zR~EV zZ#4ZZ!>7*)B|~VRSsVH63a0%Z0E$6%zB-gA(<2lT)s7>>{ydo=4XQ%kUi^8;FuC{b zU4^HJblKt({kkaC{_4Acd93{^*2_)Pf3sRh?$;!~^FsX@W0^{v zbsfPULqLu%{u6C(D_G~butkpKf1|T-58>afX=$47zhSRhY2G8X5q-1$Urdiqp8Qod z@U62dEylFPVn8Cw1F#OA{{WR((!4+BYL@rfmaNxuLfJ$^laP4lImfk1W!Uaj_^huK zYhT#en+r5t94nplb z4tmzjo|OV?jj7LYE~Zsffe$`X0guG{)TeN<((e_`c-LOJ(*>=bnG(r;c23RwDuLYR z0=E3l`L1KfYo#`tlWKvZkxtm2FTM%(?mL=o*b=!`3vV5|d9QzByk}>f87Jw0N9$Ui z3)il7L3eF^60Oal0hvZJNg#qfc&;Zw)1uX9@+|fF6?=mj4UbSWkJh@s2)>(Rs9$MX ze)gIS8D?eXM$bQ$B*MpIyjL6Jt#47%udV*htM*nvP~$maoP+vTQ@r}uGvdz>{{Uyo zwy9?&&Qo*lT&M@2=y|FO6m7NN7S9UF7LP25Mnmj8gWOk7;hW7?=GIo$tqr7#;6}S} zNXI6z{4L^ZeMF_3BU(s<3WuQ|?DYJq$A!E?b(_6K8a73?a2LMe$j|9haIw>?3gUcG zuIlr6g|w{(CH=|*?92v98Sm_CtZ6p%&2Zi@lTESLt*<`P@LmiSRO&$W^vL3vSke9y< z{pU#|z~k$RXMhcoX%d?kmDJ2byq$6Y$7*7+*BLQfez)T%wbdrG)Aac+yn~4xWb@wx z9S5y-9%XS?>!#>_DEn{Pky7CgodT&P$vuBQXaue?{9&$5=3ZK95B4ZO)<2eT0PB|N zU7m@krm3budk`wJu1GzPLMs!*f*oJOgjs4UX<(l`n-_-eRDt=`?+nRn9*Jumh>%;V zWq1AK&m{d#B<@v>z2nxnNxXW?btGTe?f1waL_wn9jU`X9@*bHZ%rFAx!pJ}v$;WurO0}S=S6a|CCH?3o$UR^?=o;c3Y?_90- zimu0pw6wG_$*A6^&5RPbZKID&^K@jwmMbqMs$Hf!1UGDJS=^|EX5mhvHtlk$Uw zC%-1T4PGmIy*A<-*9!q$u|2Wgy#6aYi>tVr!&+vfo@4o|x8NC9#`d)fVeen|$sGs3 z(yLo)ULEl$^2{*I38ub;}% z^h>MB6lP2Vf%;RtU23U$4x??VE}wZSh`xS=4^HEXtEOn0%xNd~2Z${uTak%hI2>m< z)DK$d z3z0>~W1aCAi{`Y|PMf4zwe09J`&70MrU$2b&9w35>dsd4OkyMZ{#ZGTbmSjOZoHFd zn#8v{E~{^0HRm!%FD%DBK_K8$?0h+Lo*leq)uR*NeAgEreKus1hW=)z;beCDW&ApY zs$AQ)V{V7~eid_Z;}wD7l!Dhmw70UK?GYe2lys2pIX|6hPJHNOjJZ!SO67WtjB!Q6 z$2Y5dK+>$Po(NW3wn9S~x&l4u(f%Q6SC@54d z&4HQy3FI1%{@=u&EqH8iw7VM=Q@N)*Lxt(U1fRncTr7HeIBtDu+nAhG7V(H8NK}>) zs;Dj0;A1r~#%Zw=$5RS{4NA2rLvFv-el1^^4w+ycB(E<5$5BLgOY+yKB73<>}^q#}Wf z&S>NgI?wSxYr*TL|Xb=isDWDo&Xc>a7u!mE@>M6~HC6ofE zk}0eEz)wOb-Ghdv0*M1CAxNP0JP>IK-xorqPZcKosAgQAlmQzy4su2*$UNM(ap_4h z1Z44wMJ1!kW9di*1I&!)?=M40m>jX|(wwRcSkBSjnm|xHj?@6N1t4}llrbF%;}ng) zLI?m6#UtmVj5PoXc;lQ>BM&&K7*Z+GwlWVC*%t#j=|B&cVN5CfXoQW7r>!^344aho z98_^O#={y_80=^Psq-lvHum+Q`1!Clj@hQig5NGfP><#@n-n8+J-0_jto3%pZ9w=7ZA;|<#09Z0$uQ=wL8>o%foMVc6 zGUKNQy+O3@U{4*W80Eo>1D{%qhDOeKYJ7YtKt^*&>i%YbDgbPUfDc+nCurO;??K69 zp46MZQUKf!tpFzD)mY+~jKqB7ziM^>8&{J`py#d)03&ig3TiOMJtD#!8 zkLf_3$CAi%b0d1OrqB*qo7REagUy!Z{TEFB)w~dS@jw8{X$}Q-Q`moEz_IAbXDrMx z*HT;|!9U$nf1PG{GTt_WRJoGLFJ!iZ%t)Pb2^CR1K@+~i;q5h`qG-%7JfAliaM8vT|gS}O{i+)=jsIh{moR32IZL8_<>hK*^5v(sF`!&L`$X(xc zkI>QaBf{(8UliJERt2WBnE9~C4pu?kNgeQiE^E(iH3=;(+6}o!IS0D>*K2v>8$Czi z#C{!}43`kz31XF){E9)&KOWSL+^mjs#J6zj9wMIV);5kORb^J{K2e^2wB06IjGj%d z(Ce8O)RE~?&m4Ys*qeUE3%jOpDxr;oi6bRhPC*?-GX*uxbKCwNn=ckmIKHxpKha(( z+C{)e$lAbtcXL@fCxT?td{=d;-CRR&_BAYGpMd`WDO0rcBOKSCX#OzP^lR3;vN1(F z#$smKkihmTct4dvsB8Mxs8MdOCMbgeLHR(S-<>VR*Y2Rc@fF3*sKiCEzlVCwhD3__ z%k=5_)u(om_sZFF2k?)ZA4;ot2KLDBL5irk?sPg$?2)925&7^wsw>m9j}uvF+6BZ- ze;dl@FP>CelUjsOhTs_aZ zrMA~2d7$vdk#7>PUovSzt1;*@K(1HCaY+WfaRtVo7N0CwQw%?Irv!aRHEeR&%d1*a z?$oW<{+bWxRX&w_MH{tPJ(wDXXAg-Ut>US%rdUJ#10RUMuRpo)>}DCEhVDr1<$eBH zUBOSacRnvE_B}m6j|V@Hu59{L$)2osIPVgl_?#p|q)3P=1JEvKdo_kmsrT`Yr1o9 zs(3e2zMD+5wvrc(BbFHUx8V~sREFs(7o7eH8 zT_NSZi$=B*eXL4WP0NkFn?A!8LS&jL2bH*E<{18Uxp(4=+qALSZyH|OMp3TR<>eiV zd*h7M-0f3VE_mtE-pb2K4$jb>+5Z4!3}^8ol0MEo>R7cy3ue~FG;e-!`2kGVom%I4;ExenYBwg^QE_c>2G&y841Gpxf$?-= zJzDMt~O~ zw57C~=SD#UEjRCW?p4khcKkuCr?X()E2Z%N0E#sY2Ti=NvRIlcyar}Wo+%aWQ2ziB zq*`}|ZR{M0Zkd7HI^c4D4sl%d-~4)~(yvVv)7t4O^m%_Ryh-@_pZXw=?!7d?J+C02&1b!mCdP`S|OsP2^ z#4ATh*L96BOqMrtK^*I{RUdXi?kG1^7U!${YPaylf#AC>FGRLMcX;aRSniQw9ORzB zoC@;z2HQjfs2u(^2Fb5(P0j1Gvvu9y`~_TM6>t|699W8qR!Ho8Lp_It*55_5)5Xom zhBr!TRfbUVB3@1hQ&AF`u_6&0R7SYiT;(* z!8J+h;l2V-4_Qm5S+q0XOM2z^Z<9Q6j0~QYq-Cu2o3&2Q`><)9XD8!(J!Njq_L*}$ z&@chuLUR^7?Hxh&q_^!6qK(wDr)4tC& z*}Q)+w&R`$;%iK~Jxx$autzl{(tNw~NDeo~+IFoK@w~L2d7-!=c14n6KA0W7>Ug{z zc2!K;{K=3B3WtN~*18tCG>dR(xb91$TE3ZJE#$kF^9)Y;I)Ugts_RNQQMi9PsTIb5 zEKzYb)1P5XM-_kJomF*F9lgxcTFiF~jrc2*&heh!-D_FA6B$jTTDcM^`{SYgYg}Al zec#TP?L`%vV)Ur9D&~!#Fk3?dKJyXML8ss zj(@vSiD@*~L8&HRi{yajiWQ>dxjAT}^oA;F`oy98- z<^AOHNjR*}5oztK>E_lelW!*A%11^cv8&1RN4wgpyxh|RjM6+Ob9nb3+EUzGEHCA$ zAM()mBRz+$beVOLD&9cl5;Q4lmk{FTc1=SjKLzQ=KB zo_kxLo*q76NIB=}gY8`-{{Y9SVN@DN9qF)4$iL8y#l5xmmu@WYBf)4mMbCWaAD?ke z@P3_pXRT@%7qCi=Du1kQg|}qj^sRwAj`do zNJEbP{@~WV$=MJq>s20irXrTLqQ|Rw61=N#e;N!2hed{ zMeA&RqtdDgsb0WhYaSeu+F37rJVbI4i7gaxLF48Ac>JpG!|OY(H$|2!xLy`AmigBN zjCHHRd~_$RTDFmy@tP9?(@Do#;$hQcv+*Rhms&x*f_C1s5O%5_dix#?bvKgic2W6N zWtE5VGX6B)pmTbjk*R4m*QupBn!@1-k}j;EkMqrRUJnkw8nP3K1AxN~*Y6&+By%!J zyKp~+UAL3WQhxJf(?Cz=;<&vJN|qbjs~t~Ej(BHhb8^X+8R@nC{XJ`=o>tG^sJ!+c z#Y#g=<~6MX`ohjjeK*ayvvv*T4q7wrJCAW)ri!N5NV9=~R!CPWdV`LYPCJ4ht!OFV z7Jly(CIxMN32*d^n@Aj_l8!OPaC48xrDEw?aK6$0#i{9wXwhL(P=GUkv=6VZt!q5Y z2C(ILA;)^nj&r}QT9!?){I0vVVEa={ zP1w)zl238r>EX3WB$7g_wHG9e^H@4&g=MN}>|(T+PrQY;hhlzXj{eor8T ziVLkm)=S$rO^At*BkkM2dXrJnE_^*G)HE&gTetey4qIoT0Cg47EyMRTi$BlRzO>6q z#&^SLrGrC}C5fZmGcewTNdyjguB*-1^-|JSl?LO|nLMnI_o&7=wYSxz% z8iOiFVW{LV zjFJIU+uOD(ePcm~!*=%1CH=&j)ZZ|I-vlo`+pqZ7qARxT!)Rl{IOP5{JS~YgltSb9 zwv7ICt`;w8?K4^1nZpT8YFHkiWxxvc&oE>2{lM{HAq7k5tBz+b9z%w1u|HTkVPgq;+>Fb0-WSfF@;WP`3>^Yu1O%% zNGSQB1<3?Z#BK(cIp&63jL-vL+3*ROpUmu+%hvs(}PYR5kSVwq!7T+(-8jfDxAzfK#)YZ$m)Gb)y-iZk$l3iUcS;(&seIq@2^bPy+X& zn!L9(q=0A`;CmWeQwgP@3jbfhEqgr{!QD`b=F+L#EtmHvE?Y0SSe zv}{kcDvqVHeJP;^-U$aZ0VC%sKnFcVF~cKyiH|}lae`QWG}3-?yQgeY0ptygxaX}$ z^A%H`1xX@-i4>Y-Sp~9k4@v+B50z|ED+wI#Hc!Q4;YJt=YotDJGvia(1d)_@T(Q0~B`%8X;? z9^I)OK?5BRdTus>*PiqMrv|ftu6s7 z>-UFRMqm@Kd{UO!ppHQtiU4zs{O$veY9<423EX>Az*dcz51^>HRUN1RKmo|)cNC#U zS%)jwQ$Z@+atY>wPH;E`_4S|y#^Awv3XGf(dk&(c`B8|@Dj5`vusvuPkB!*_0Gf6| z<0penQV1LR9`rf^AXI#hS^z?D=WzK=F>NRYFTsz8jJ2KnW)*qt=?>1psxYk+}fR0MjJvgFp>#nD(fYx%pV)q--}C z=}eb_k=lS8C@Y5J9Suh*!74uPDnauC52i&yC}4A%06@6}j>4E!l_xatKpdV=T8y%* z6Vy-yBocY1w_0Np^HudE^R)Yjk4Hi%2dc5;*z~2Y zvP}lt{&}@P+6)=!2m90Yv`9$EnST*Vx&kufNS~br`ze@%XRaxC zAnpxpodpN{v{U%gf3VPXkw@c2#q4BbnG%z7_04Sm0At(Z{bK%fhedvDtfqT==p#sV?-h^Gl794W1HqS=nO2!9$+?3*#d%%7bX z7uDZ$C{Dh$)Ocd%((l6Bwuvq5Hdj-~lg9Ht)L^7#cY6N-jc0#jTQ^Bp^QHd5wd(GE zm;*md(QsIHY3tV-YT4<28?n@4Yj~f|y157CXFc=NfmD2Ns$1%wBbs|=-3rGXOC~sd zss?EWlV(0d4fu*tq}z_C^ry^Q!@lMqAoMk<;k4hY!aeHjHWo3SLZA15t*tuR!WkA- zMBn#&aZ2WE4&v@@_{aBeXg}$n%lcP5BqZ}%{xH6d?W}}Y-5g&w7#@Gfu656+${5kNe9` z5=K9XYe49hpYzbuPK|5-06i$U{;wjY`2PUBznvj;{_y=-U=cnmK#r1Yo-y3yd`O;=H)Q`@sod^y@oPWDa zZ6!eBLHXjU;q`VvT0c=u-5K<$f3oAx`s4oS!KZ&@$NYCFpWWTTsTbAcx{GYlkU?b> z*$QkpagkETqk?d^ai7MM`yB2+zl{DASYK9s&dsHH2u|J;3CGs88~#0y)K{6ejjuoDmAonV6a8u_ylJerONitD z0CF|1g@CA6)}nS-+QpTPz>e^zo0Yid)@dPD@o+zqeLg{JX(F zrFjXTJzSa$@s3VwRhPwX$auN-JpykN+oNJR6pvv_JXLGAh@@uruRmMlUVwfy_0>xB zMf?p~z|vcpyiHfo?^ngD4r8&Azus^uvv{iNaft1skNx6JaKGD5y#mulwLfM8tzoF5 zeYIwGtE*qgd6^Ua)c*hzPWx=+e)8@A01hjKZB}PI%lT4&XrJPhzXmm;r%F8$E_IId zUK7LJ$baBwk($`@^2mSSb-})_$N1axrhjR(@wonVU%6e0{qsEmWVDNGM&vh8cUJwK z(V0--@;c_cmK%$oyxY9Lp|48NPTLz$`?-yOQC?;?P=5*NVR^6b9cGAp$&-K0*0Qy$ zud&RC{{ZsdO^Nk9T}Pvcd-8e$HV<h)7!KXFlpHM!1SZ3{)v7KshI-ahmTf zhx4Q}Li_$b(;l_SUiiC6wYq30oz;=b6XlXO4%OD({{Y9dZT{Ckm3b$Mw5jFO16x~3 zB*y+}4%8&=JrB~Dk3iG!t?%s?=FQ8(*}>XJLym^Dt&ZkHT*reWk}nNfEU3vLE*V*H zSLQyo)7j&CPz0L9$!{5+V~FF7ySJbnYn8k4W|wU`!!+))JYrBhw)>}$dRIx}NSbXv zc!x|%jvvEliS)$B8zlF$r&JEW3DMQ2ckzJ$f+VWRe)jiJu6bj{b=H` zwBUZpZW%}-H3T1^t&2`29MFKF;v4vMDbQ86w`GBu8GOb&iN_f{RY>^)wIBUQ{qsU4 zoJx4I!R{Ut_J)xhqZUvGeYvf~kVuO0x5&T|?_OtbrfM&!+{yMC{Ie?%-dX1+y$48F zO+FQr5bC2UdWw;oHlKJJms`0@TSIdx+Z0M!kDwI=vFS|ld~G*}FCtJ`o(E6>>P`hT z2R&`#>l?X0a%4F;2W@PIC9{*wd5(pp>lV^Nt*6`~h8ZrH9c$B}9DOM;H0+z_AB9r! zj<0Q@FWTmo2z88{iuRjdR$XNj#f8_z8rg;Zezm}OLrv6e^-F0ktmTCiGO=;M;=8E6^-3!G8#WEg3g-M<;)_iJ zSeEf6V=i&AbI{_tJwK`c0CuMqr8T1I){Q*$) z(0XL>D_+~~SD$#pO4V-sM|C}xopCA>pfvt5^^PMYS2H5#ei{e-z$6rKs6h=_ci@0q1%7jzIMMaqV01 zpOtFTVSeu!>y9&2BhP=~WNK>IZ4fRyeB=65$?-1uoPT03{r2DCUW6Tw4bRt_kwQre zMDd}HKtUTvLsW$9QqXmk*0iB=iRC%@WRG@sx20GdR9ZffWun=&wSu~%$X$!MVp#Fi z{VH})#;k@k^G#ujc;kajaZF4?0jA>}4LXgq0Gy6!=e;X^-=#GhaLoc27^5_d$28(W z0)dUqDFYO&2Wn|MdeAV(98#|0)J8!EnqkVeLE?ZO;gEZ0g3<)M+S_XVut2`37`R&r>!dt zV>FCOB9-|ffiVUt4GZ3v>p;ZM6y+RKB)}sS<>#dWu!MG|uUc^wFC5So1Dw#qic)tP zY0Uv(3UNq5r*7haa=g$2br_=bn#EnQBiO0P(DOM*Sp%z&B*SE>qyPsXcIiv}Wi!a=X(Q#iI0LN!ISzhS@7kJEYaHi}lroU{M$UQ~ zUHLmn>DGV*ZJ>tvyHskbK>*;2Q}VwYj^x8c zDr`^ByedYA&w7fU^IQ)IO3Yh1ALTZG1?Cle8Io~5kLyZei;4bs98bF6U|F8 z%8~{cQ%}sQP64C@Yq(=_f(<#r5g7+X>L?|F`?MJ`$idH1KnNHzgX>KrB!Naj;}sh@ zR^osZV4)QnHZmKW)5*a4^{C|F&;&&JXM;nK$0nTEBMnf$01Wq_0oUg{x%8+>D%^2T zJpm2PHfA6KdH0|OPmJfiL{F_cM#|^CF_-`{IiLg#4t;40f-y)tnrIp6)_@!~=TTVu9R_DAqIxfB-sGY4pokq}<#6_8bbl1rNZWMp@CUy(P!{ z^yoCpSY+Oz{kJx1_yU%#Fd97%O0RLI*-ZP)U+-avt6k`RD7dUp{>ifk`=BTy6t zQP2uXDCQN3gYm^hC(PW^5_?iJW|hE!?O~C(JYzK~{DW$Y0ZE+o1XBwf^~C{U>_tY+ zPHvSOQUQZ*X|8?EFUw7AQyE_32&a!^%l_*xQCZSyP=C)!e>&BAh50o3tOjY);RF3_ zpZC>Fzht+M0!1I*+}S3ZfBvgr?rS_*k6O6uWh#m;%)N0vjFD`S_k7;bI3skDHKH4KvT{%vCnNR!*r%g`MJ`&nP{{RA}3uH8Xo;9V)Pqjpis#>_i*}whu zRo?2sj(*1f0QcQI!iS|Nl&jcL${y7cN2uAy{{S%DT|nz}qfI*Uwyz`y#{nqyjH zAniqi+M`{y>uEpM>E*tJe}y_7Q%yZ|)A+YFj41Okd7vDxvDfL^wwo8-wAudv0S3Aa zJ6W(DLWTEH{uSnt%-s^D9&7#Pf9YK`sLERzb4eZksp8Ed0Cp9+fBEMeV;_mGcI(A= zHvo%E2p}DpfX1;FAUQp09m4c#>W&pHSbIm%np?e6T)ejgk8CgUrYzSP%iKuc_R!U5 zpK!)=Qb%ilBzw}@U7z0CarxG&5nTb^TbEk;LYrPk{7z~wwE@QJg)A;h<&NL?jap4L zbD3s8@DW{*y4e^>Q(LkVdFiMVS02qeb`L;%}^g57Mlrfug6~uv7l@jDM|EhFviI z(((TQyCEOerv?N5s_x}JlIQu>62negGg#tb)fdxEvR}DlZlCZFYT8*szjhc`=@1{% zvZ9(u&&z)Mf7(EQ!lxH=cDs$fvE%s~$>8dDG+2lmcGk!~@j>()RiP9k)6;=iF<)E{ z`QqQ2{{W3lFXRW9f%-jVEG0uliGkAEND$;6{V2Lvo?Xs2bNN;rTC{Qh0IZH-^j!Y{ zDthZS-`{2Vzl;%F^25z^G*zSA*cPy_MEs2l5+TSWeQKIrql|}BpF>hdHuJ$Xhbgtq zTX`^y4{=qdi8o~TIj2D3l=}l!THrfl&{ULJ@}nz)X%aM#?zihzeBgSTBa`Zzvo0siro+ARSe@jO*$EOH+lfnn52*@Hv>Mk zTgiwWp_-O<0^LbH>aU!<^Ui1j(SjD^cQohR&ibvk#=Hv9J zOmMej`P5gkZJ%qOmjn4#V=}Ko)S4M^LjpEMPir7o`9)=k`Kz`vW$BmX=DGRVHnVr#+MD*1kN(Pm8j2!PHtwrV$nat79@Ac`Cs=%07w!8jtTm)rC6=92@F1sS-x={PJcR8xB&HG^rQyGu~9e4l0KC! z!ot%2@ZkM%{Hl$S+}S+QcOY4hII0n7!D`3u_x|-bCA@!ie_G4)vUoKla%A&RGj7vi zsYp%6s978-H7hv`Xad+sbJO*wszd4e)dg`whZtj2h!{pqA>>ofOw%)iOawveNzjmK z#2jXj{K9}5;{zk1rl1(~G@~PmRv#$E0s<+WDRO!mR_F+z1Sg6K1anH`(wHb1FU!)L za7PrB5(g9jfQ;gkfO08%bBaze&jx@3IQOOn%|Ep?@jwYiGAUJa%{1;qKnc!hJen1V zG^Z2*gl*)|7-KZ7PTyK+JYs+p^UW@DDY?PHrwmX7NW}x*gUO+Xr2rwvdT<@ZAs~)L z9S$e~2|1?&#TcaTiU2syX~2RoYBHqKgFp@g6rJhasfVosW2qc;qnc|HXaPn*qjAkH zeq2*XGzf7dj8l42gU>WE=71Cvib^wzGe8(ACRvrK`+|TEN@$HFC-_Y+I+!Jr+^K^*j;;ISCSC;?b*3RH8BY9`Jx z(A1$%mz8R13^`%afC%=KJB}KVZYoYe_o0_^kb0h&q+B9|ar1hL0CM3*4&No;Yrcj?7LENrd2 z3PAj5V0)b6freb6m56hIc91C;f(-BOp)$1yDXyMsRsFs#M2~ywVaBVYoB^*A0+V?)Ijm z=OcyfPI5-qkElG)B3PI#WGgpVE3Q|56J{`O(5jw zr7VXIq<%EgNF-1MWxab*A^q5H^o`dv$r}S6v;aB4_ohz4*NzTpNyx~>MIplGfEf87 z!_t`{QZxEf*n+=DaQw%m2tj&R!n*l#d##(X^^a{ zK?HNnODI;mgZ_Ib<|;S8wv1*;;|u!L5BNkEweCOdpZ@?}l{_aJHciVjf4Bgm!@07< zjEJ58ckEG?`r?_W^sKD~bF4ALaE<&l zSZ7L!>q#)hPYPy|;yyU01qmjJKK?1T_i$W02p@LNwM$bUyoWpLl{16ba$XhbxtbNq7u0N+(4 zdJVb$Ie$vI9CwS?Pq_a8zfDdzO&9veCXfB}Bl=S2tQr*?L5H-?KMIMo1pXVAAMgs$ z{?WH#!!PGY+L5|AsrI!|Ug?s2K7Z%){{S5S0PE8ahyMWS>;C{D{{Z#tXiTTN)59VD z?JulV?i{G++d-IgoFBrVeG=odq56YeMq>7)${v)~Drh+lO|)yF*$?7sC(`bR{IgT| z*Issd3TfDCmM%faac`#G5BX$&@1a#>)33jRFZ_5{a>);JY9?5}go>}N$T<%`I!2~X z%@98}dWv7{tKd0=b@dh9l#lz=(j)b%`s9qILNYjGrCK=*z0DV$_ zV_)|P`PXWEq1u{SA8LPFn0;Oc5c)0P>IFCZBIteLU5t}Ko>sH3^&3b>3(apzrAn{e zB2?iMmbA_oi}AqFO{qt<_h9 zidiK@9dS&WZiB$X;*+fFK`rRd#_&BgQEzbC#@br!K|+0PQ&8R!uWcwzC9av0pL%xo)3;sM)hM*6N6DnJz9)mxfhg+MqgI^swSKdf2|N%US33Gw}IV%z1p1?lX%jgo+gbq z0L`9**0Po=G1zJdg|1B@xjyyRTKIoMhn7P*{{W_v;C@22t)Z}kA7;0;i|By=06OQE zF};mdI6kMG{iOc@cBDkRmgOy@wsSLb5b$w~=DJ@O+s}3K+k-FN-RZ$JL2o+vt{bA5 zj9cv|{sW9wFB3B3P6){w7P|ic^5R$h=U?%uKj9kJNdEwjc>e(J>ep(sLwno=AJI^PV%jf-?YZp-x=*04od{pu(Mbt>|TY9F8doBA-4WMPl*5nMFo@-0P z`Vhq8JXy2W758=HL{{ZF9NBfS# ztK9fUNHOLVog4l9kJmM##OT6Ptyo_XP3Wibs`GeS#xSZjfGdj=ILW6Is*EKCW^P(?r|DeJi1n1w_B%q-`L0j}IK}}a z*GXmmtmn|zDdSBp=TeRqu?q4-F5;wtkVZHrxY{~OI!BC?QPtzqp2*wzfw1i$JqOad zxOhAo;51JRYPOyrx4*i#RfaN2#EMAZ`})^;2m0T~H6Ut^ZCra-8R9P!{{Uj$i*K>C z>|^tD=4}|xJq>m_{{TjRp#F8jc*{W4wM|VWvw>YiLOx_s-1?dTo5Fr6UlBaYEq>0? z0NCHVBRKRG)LNVoTwj4aHK^!UH*#tbqAZ9NcF3*HeT{Zj-9H)t(7OKsk4*mnwOj_j z<6rE}LQ8M#{{S&!aGU(hXCpl;zqtPZk01CK^sh4UKZUhDQugL+SmN03uBD^{APi6k zQ=w{OSh4$3!{sjWm?t&2WJW8T@TQw_rD+LkcmR+yG1|b6PqlP5xE<&MeN%6ipWViP z0bX{#KQDFV4KY{yrKUgOUWuzN`%tO(yr%=|Ys&6?4XsHynl*`jP%zSr=f6q-?=2=X z+ru$Uo7G<%zV1eAUeNr*=~%WfHNBO*5|uJX60459fr{6(KX>Us%DySR{?E3a^UL#m zi1+NyGsSVbPmWtsyGWYML>YpDA3aVzE3WZvytj69+gqmhl>&i~2kTrek>I^j!uC0w zTbRVE$83O*0S1Ac(jVT6)Uo^H>sd+n{{R})vf@er=R9kyNui;*g4u2hISdPtjD8iB z;m;K|uXK?$qQ|^3%DFh>J;iEx+e^FEtdIQ6cJO1x{^ zHMo%il1RYm#d9~F5!7eb?yqB--6EDk+e@7P04nJ;n^&-~5KFUgV&5sq=Ao2oTJ>M8 zUezCEpPT;xJi%;w;8hD#_kOjZex7ZSRol4aVYus5N#@p`I-cqHw2c)=Cvha=yA*Bc zt}%ugt|}h`>QLOw@y%?JyOOE6{G-#Qc5yDqksEH{06&ETG_1b*R9-UFB-1pxub@SF zAdE0Z&KsJN%>2k|KNi_GrKU?~7*g{l(Z7ZKa3~qWXx=uv)NgI#ng~%{_O`-7T>drN z0uB$YaJol@u5-k`A-dA_Z?VG! ze{eqcC!G6NMQ8V0@UC~oJ{7#ybzyl0)DXrIP%G{vk>7#Rkq1Gg&Mx%ZYmzW$P1y9! zTvWwT(rq5YOtiI;gS-i}u+9%PqP3Yt+kd#Vg&+JR-|&#?Go%alSmGkpH>g%_3jImv zIj!4&xV2~sV0p@1d_jAIAhMk^&d`a0^yatxCohd9*3fHK%(ekoxphV79AgJPYp{>!6o>BQPy&&~0+44M()}m_ za6$H_pS_xPbH-^3&;iXfsRoo}9%*^cGysDljOL^uVxl5}G1)Nsq5C2^PI578?v7_=Ri2z}-z^K#$+gK6rQU@jScqhFiiZ>FVngDEw4hrOs^lm#q139LW zRY+Xm)Q&z;*yE3S089mwj-K?5lC0^TDWH&niRY#%%5WbYG030>$U!URo!S0$zb@>B z!w$6`cCJ^}oVnTBU+CdZm(lVI?jP<12LFWWDHL{}txIL*<;N!5M1}bculaNp4PGk~pEyg+; zSMBdnK2(J~lRym_TysIeLPuQCK`t}Wkv?3C028M*5ys)4T2qkyYBo7wGm1bfkDDTq z+vfy>O$GO2k;&?FKnnbxDmef>dWt;Gd8BX%-9QkwLCEPwK{z}D4nDL$E6p*tZOs5f zk}?~$M>aqJZ9$U0$?sD9q~@X^9t{9SSnf2&K9u8;nr3lG20kf{M$truqbE3^1!kz6 z@k5?zjCi04p&1#em~&Cu48~8rPQ#4SD1p+NQ#A6_h;r1xZroEP85LlzPARt$>|D?z zGFbDRimxTO``bt5R^^v&Yc?H5E6cC3!p*w{gZ9YnNM@amm2)gXlmYdt51`#l#1>V} zZ(GkF*<&O7y{gPN5b?i?qE1lwM(eXh`u_lhAMl7@c6k2)U+}KlJF9AM)KE_` zz^{e%82W5)p{V8X-lpI3%zxii=z>@e@d5bL!@=qe0nB-ucxzK%`D72`Dkji1MgIVo zc0WQt#=S)OKHr^2#y;4fIgc_LKA?l~{dWo)E-j^yDF}Dn=gnX(#g0(F#V^Pj? zTyeZ*>TyZ^tF!)E;+>Fl9*G932ibb8thh7RfkbYvVMXv+Vhv!9* z9)$4NUZyT>ZRQ8F#HadIS^OR0>%YCGM;Y~nnm_#nfn2S>h(hzfKH051UlFTgKQGYI zaEsjmV&~zepCQei?d7QKqzM510sU(t=i#QQ89!pyV1H@jW&T;NlGDVUHp}_ej6Nb$ z{;(@nPY+G>DM_QwZaf>}yFC8l(&!HG$})dC&7RL+wf_KLpFcNf+M{{RZDCcA0;PSO7Wyo^7kd#R2l`;!mC zv#)fUOPu+U!8Ut34Ai^~MynntCbw<;68?svI^;j|)BgY+HGjt*6S~p$yM?i`xt7WC zA~a}O6FpZWJq>aaZjFk_g&1`Nbo}c#gNiTO8;!2T*@^rqjjpe6DoS(+3$W$Cg59eFbz$x@GSf?$C zX4PhKw{F_36w2GA$uy|AIXu&)l~8r&y{Z^UQPmSVvX+Q*y2iZmRZNmh*g;CFW^bFN zTZI-ez{Pf_&7qalJxHOqBa%6(k}#(<=WwH~SDNf7qnb3x_ohzF7p+#B>$253ZeByj z0+B0*rZc2XqeU%QIg#3yBx6Vk$4YQ^UX{Trl90$7ExSZp2WBr`hD!PEjrCWmhhC&$o z&`f1k4^yfH;B!i8*EW;d+FRVSMJ2q-3c5HX9A^Y|6;W;hsb{SU(B;*Zm#C?>@M^RV zxbag=`&3dijFh$$6y_XMLRw!lilk98r~p&hjzuN9?gERW<&j7Nm`BY_5@)?zys*8q z5u4jdVDmofGT=ATfEyHBvGuDWFeD>1%Vaqjq?$svBvTQi0gVXCZmG9NoP^t1YdduU zp0l$Y9%y1V?PTMQK%t&2pOI>{n{WrHG+Jr**U(8lybRF8lJAfJ0A%$%{uDQ2k!4;H z$Cf`5X&vG0xcXLW+!5dNG$iEq{Ha_Ggp_$KHj**kqAdnFj1%unM`3K)B>c5R&cV3^ ziU82vZa?LMkHpYsjD6Gsv)<=D2kA=`xjfJYx1Y8>uB(rH)P7`H{{U23NoB5~?-YNfGwn9r;fwMWk>@!)(_Uga&<4o3wjClt{^-Z@sl4Pd{QGhK z(5yIR+~Tw^f8)eAxS(afv|EAq6aM)W({}*=6#Ru^nf>X8ZhKG$(487Z^7j4$tTf7_ z_nUtj#j|qKjMcqC%9Q~AlmU0`mj3|kf8R8Ht{%Y;{06ZM$26XQGy%O7=*&1#?N;9A z72u#Bg=6W`mT{ha@l##g6_Xuk8Kf?)@yajdPFuJ;MuYIICb%Tj(M!6Efxl-exi|u? ztg5w_r?&>2@{;6dy+dO)Jm|CcX?)nvMXb>6Q%2`C7bw+*vmX^=KGjat&_^gO91H^q+{<8iu29WeugklHx%aF3JEW*BPM$ zM|?S^lnQ8E(zZ=#h!c!c2c19nfC_4o4r$$~r-RJ^5-}#1Ajij1NPTH?4-^0akw|ho z(cc-ROmuOY02Q(gA?Zk~wYu?6B7g-sS`>hAX|arEoq$aM4Z+Bxni&o>5Qj#MF2SFjB!BEdO4s1j%g{lV0zF<>QT~=9QB~)f`c9DcwtTJ-jAgKC{jDp z;L;LlGSmvj8YvO&>q1G_xgCuT51qj{?TSSJwp)gv1(gGZbvsDvqK)h@LW)46vF3z4 zka|!7AXa?8%1EV;DvSx^v8KPwP7ZNS-d-?-Vt^Y64212^G-EkYNg3M2qp> z=70^Qena`tr-QtLY5UZlnNCkiXbN%wpadT(kOpZO?+SCc4%nqeG4~iIpK`pbM#HB# zpa`}WjFZJIPD2QHDO(GGPIr#f5%V(+RjCkdVuX)s07(=pD9>KB)4|C5p!TO=sQJc8?rG!|`3M9a zn5G0$Fn3&2)j`Po>5mx%kT|A^Ff;2w$FT}2da|YGC!3? z_gM45r*3s^%Gu9qW1pOoxX)?;dokLea8F8)oZ-$-trEH59)MCv4i$i)2At=UNz*jo zcOFF{??4fdNd}oI8@h8+pHWe`40E2;0Mt1I_ogw;E()B}ToU;0NCrrtuH_ulLCEHw zpo5OIz&`e9862Yy0I1lu-My+u+(_^5Q3LZHXb}+{WK?aEL8p_n;Pf=fND47P5X#IB zDia|UD=}(@LCqi$n~rKd;8MBAT4N8EfEyvrLdKrvqdh1B_Mglr>rzc7(A&P~8L0H% z^=Io`)%Ll26!#XbZYNYN9^el>yHGNZ_U5&G@;;pg=t00LnW^n>JVEEi(!l;+M*jfa z4xQ?bo2cpi+W3<7?qf@fV6iiR=V9Oz%>z3kxykQMxl`q>OSF;f1BbwLF*FUJ+%`2~y8#iBX=T!7d8;f00rJ6ml zvJtx=^~D6VcR%oupYA;k;$59fkN6E{Tf@B7YnXm_b%<5 zpkq|xx5%p31F)c0BfarhPxWv=zTyY+sO)uVbtu|USvIyh{{V$#-dSBYo#n#cGkbTd zngz7Bmb)bwZO4iQWN9y$&PzKQhHsN3f@<0V0U!ZYF2k~%8Un^#x|fi52-|I5wqrfC zQ=uupoxhD`URd4B5k!amqsZ-AnsiSUgb~Ov;c$O5Ko-_n6nwH3`Wi_!Tf1nou3PI) zWD1$aDvj5fBt=noP(Emn{{V#6>em~hC;kPB+_v#-=^C*^^9|j7YlXbiuBQ93pO@TL zvbGfmq6I%(Qzg`b>UHt>)zcgIkD;rPc=8qd(*gB3t{@E5S2i--{Dh*9?=a0fnM%Di zyFDT=AKRb#Y^Uf&N&f%|-J|~i%U{mC#@|7=i|@+K^vzz5)(HAUAN}ffFsY2Dw$!t$ zc$373Q+-m)P@dH$Y(^n{zbNUDDl6|2c#~L-qtqG;p|=~Sfj19u*v@|%Qh)uP)P>i- zS}b!sZ2BWA9X@gQ+*O#Z6@Kxn26Yt^-Kg#`ARJo0+iMLKeqLwMoR)Jjr+PHb=sqzDk-ipz%?5@ zk`I-0NRY_q=1f*Ku?iX?Mw8u)GP8G}Kwpw-(%ZWK01p)+sb1CRRI21R%)S&?j?f;! z)r7R$&?u*Gb?CVKX~CvF6#jLIAH3{6U?Za%kx|D-VffS;xF5m#iagoJ@P4A1m$Rx{ z9&h~iasL1UG@)fZXMg+X)gvtD_!5+VF4S!J&A_Xqv{Mg z;FnRglXLDRAAwVIwCb%Jijz=ZosauJ$!k8_Rno`a)Gf!=u|@8)rbxu5rEAE?`oZan z24v_ujm78hrg;AVc`%6;R~Q)pllj-STELpMxzevj^kMt9?;GQW72x`3k*CC~71UQ^ zDOOkVk5Q0u`d7YbcXsZu-@vjjo~s}S9Asw|oTOStEx&-ZnEv}(ANIW8{BV>RynkT1y7Q&oZj9%irv|*!P}VN>pM_U%u3xK8_er(n z&H(2F1L$cf50>T2ABVm)xVD0KztUDYqgepS&Ir$8TX6h6)rL3T*&)Y2GauHHul0A;=CQFX}aC4m-nXS=8j^cY=8lb5!?FLe*v}B4A!!% zDqSN$MOR0;<&pFFG|w2cDNeU4kBhv zgkbu5*GV3=r2M(mB0o%@-kqJ;&##XlWwW3LjZ$!-i?lTW82!Sk$fAj-|F*9FC|7rLfBLbj&a($ z%YOd=TH^dXnm&g#jy1R^^H*v4O5?wJ=wtC7jE8BzjlbPiKgduAO>zGKAjT)uSDbjm z#9F4AshDi$MTsLrf{J-L^&ZvO&*EJh>@1&d=ZpgzkLg@rh+xrmd(m*(yik0KqhfFP73&MByh(BuH;^!k$0T}JpkH{SNRl)Qc0pdbiO2M= z4(mzK=AX_w;8w{$>RZ<|0omxcGTmu5^GxNP*ChTmwPVYV_iK^U^_?Eh&Iksj8!St= zBp!bZR<)msG-Y5#=I>>RkMy7o9~0cYy{z-ZaPh)jc+WUB=Q>x4HG7H7S2Hi)3BoAq zab2Fdt?5^`?-JcKd*dieLi~Ohu1s2JG2Q*5JxPP`#Y7ANAm`J)A)T;%l@+O{0g+so z@g|O+e(-xl5bh&5a7 zYCCJY$s~=pe5FS?9>TiqTV2!V7jgNlk=(>$V(_-$2?ryNyjL%$+vqxOpqG&9QnZPj zD@Jk89hQJf$8#QY&1-3=Dt{W|hlzA?^EK!GLx1qCJu}6+L12+fJkEM7P%%H!finxo z+LiX4ZOx4B4&wXvnwcu4>aEBGf4y2)kN$#xyPD*q@kWw|=aPT> z^lJC~CE8WwLf+YK=5G68{{ZXf^rlNVDf~yOuCFu=c-~6LM%4guo;k<5QcdoN_qE zM|$S3w0#WRwDQfUMIk$i7=r$Xn$x$}blZ5uL8WC-0K!mF)7m~654}s`7nOA9XusAf zoDtWhbFp}{N{E8rXgKZVfA#9i_@hqMl-pZJdhAs4kmvf)Nx*47A=O^{%AWRVIRGwr z>t39^*B1H?iKkr$m9;dHPV7k-dj10 zE(hKfPTR(MO}hqhb&qJr_|d6rT6csE@%v_^N7a zdN+)2{?{Ld^~)xFc&AG zrV-B+xzAccJBk1gN;-;D(vbU51Z*&PrRNl+gH1UN?LZ5hk9t)H9McP7sdtbl0Sb)q z#W9zf8NP05U)F&!pyGnw)ZFt|ATs0}8VVX{Q_VMaI5hSH zX65bHfE%7@enkN8V6J!P4M90PQ``|4_4lablX1Yn z+dvJJ?~!mZj-r*u929HG17(0KVgxI8TTKUaX~6U7{CXppafyYMKy?I zBz31h%A*4~>S{dao|*jU0YD76#!p&cakB!ISaj%Vjlmw20HhL0Q`(xPk5N(+^5t<% z=NS}$i-5#afL0##uZ)fGBT1v=(3kp>h9p(Va^Ro>7O+NXJa z>buX5^avui3fKd^CBz`g!1`3y&ASBp(=`x8rH7>gDSefFX{OCTyec{FnImTY=&Tv; z8fgnLG}5`vTEDZ<=+hDH8BtKmA!13CRtPlg`_)+Ec(>6S+ik~LMI$w!>oBapmmO%_ zgS8eV<7##_7UJ1g0$kO0n%p*WO;l2p;>kscc*>oL%<%TyX@=ne;R&dWh$)6-qQw1b zt1SzlR{}Hn)IMX9aD(xv{?MbfH|;4*Uf#1LCPUZ?KY5;@R7UN4Q%&HYUedcPm3AL9 z(|*pqOB$+gN3As9FRcQ#X>QA+D92$-wL1l@#=i74#=K+D(abMlQSQxVD5H`pHn@y* zHIiqTo)pq%cI$yln5Blc?9*$t9`zgFDDR4-meN05{uMfDtliJ!OPR3tbmO}b@4fR- zz0{5OL95B8#=m~2Na2s7iY+D{(v)^rGF5J6KXi(jbm?F3wku8Nj1I!8%X+KO)8$=Q zDzlfutTA-hVob<#ezgSc6lzj6AC*?T)C&v)P{Stiyz}_iMF_>n>4iD`Qe?Rvl^esGtjxq>gu zdja`Zq1kA58a>6b-szFvTTKjdm38@$9;c4IE1&QJe3vQwSypdcS6wXd+RDCjuM6`o z?m(_rCigW;#?Owv5oy<+9UAY0K1Nu4*R{!IkwD48JsbJggpz%0>A!~>&FVt>TvOX5 zP|DL{<0o`SlBv!C@6R09&AOYw+TG!{2khqJLYe;Va$Gy2`VGdZ6ww}=r^|nGB8vcbY;>xr6SM4 zR+e*Eq^m21kep?dupH*NFA0zMnUD9zYeJ^e*|&vg-k=165tts50!dV(wK-3!Hx*zg-8pv`&qj+?JpJy-c+y#?-|u%5;rvb3)^_g96_0e+&I1J%57@Rvl^ zbm=70Eg{qO1sRCOF&u<{3olN6YvwIh69vqXI+S?WqoMv1I*R%`Q~0X}nc?(;INDuZ z5o5%O@{%|t^Pm2`eCgs1LA6a{`t|mgxS8c*qX3e}pzTZwmNt@JTyc1sac$wfeYEDaXsuP!BLNR^pd1gaW!U&5#7klJcQz~K zpi!B_6UR2e3U90$ot+g0piQOE{}&P0hej+ml|=@Jc&7O?X>fK^O1hD<7DklE8z3k=$my zqr-@@-b)wpvizpIdp%8H*HvOyjjaf6~?rkHA;3nATjdr?z zBd^fc%DyDhE;Mag=TEvr9MDv5BaSQ?(u^4xZ93I^E z$>O}PNZIDipS;86{Oh&SwF3>^v{0_+w<_Ld-U$SBpbwxtUEnk(KR28Yeg=4Q`9>?^{4 zDC==+zBbhFZNiw$zEZIMAfPUPN&w_xP4ayI0CV`O)_xJ)#be?qQsEXWS!4br-OzU9 zJ!{J}r;KVz=l##_w?_7^%F|OLPzD{V321&JvoPuwFi2T`Ndg6B z&u~S2sp4H0>p|A8bldpKPYZr(MnU|m=r0OcAncCX`T#WrG4CYLJWT=L{f8dqmHhT0nliNY%T&r!8Lv#fvYq!wt=|3yq-}w~Q9`#xq_k@mpGtUh&=a#3~h0 z{IWsLc7VUk8U}Ng@%fT$epC3D`_=5<1GO7lJ#bkxD+ro0v7Nk@$Rj7{Fw%Ad-;>%m_T?>t4UuA0_xMU*Y(DJOx{oSN~Ui`uQWspIWR z;?ax7tRfHDihvG38U)TxKi*s-$@drdeQVV|82F|?3|@Tp%GTFCcE$rPI$^yl%Hoj{ z^KUR(zIV6cz1YJW|c^eVU6)Wq52$TSIk~0(ynwJa_3IF zP_jbU&U2Aoq449y6X|;FHZVpO+V#Y8nRrm(91weFrFs7V#M{Yl{AZ|7aG_)}mB92I z57L;?&a0M@6W8y3E7^Vt>lSvNA^QNcirp?ytaCEu*~cJm?Z)cz8*+mFBl7Ka<9Dtr zpt00$E$$Ln$X12b(U*b<=749?{w(nImxy$k?Jl8?N0AE0CegY!#{?eL^1ioYE{m*P zY1d1(TX_neb6)=d;2$2}>;C|6{?xa&wp(bt#dz4}Ph0|d1J=AB$2ySJykDu_E(*yr z5sysbkkOK$NpOfpRGhccy}RI6s};56+Dxe$HOzi&Qf-yAUfw%3LOL_VZk8mJ#XE40(Sq%-*~cz^|S>cYeB$jI~RplX{uhbjMCVr2vd8 zUGrW?Z@rgue9i4&MSK<1Z4QL`ToEjCTDfNRV0)j(iuuH|+TTGue|WYz>0X22-xW#W z+qKl9L2>3OSBH_1eKFtCkX-r$U(zi#?J`>n^bZuHsOqP&9`*9aiZrP-4-j5yQt)lu zfzEQLkbf%r7r>q`zVS?#lTW9$g{8W#)^#~zbC5~&_OAl*{rq~TjP*OXpL#T-r7lLUkPP?9i&jCCWo<6k@Yt5K6w@gAXfE0$>`AfKnDNC~2A znwE!lE;PHhfwRhk^1sw{HSYca@x8B#Ed)+PI*eU z4fT|UIpJWyj{e_6R!Q|$HLEkjI>b8eiEXGrMkQaEe|7%=h<p>X=2A~Ll1ZI~UQMUsW+!4hB6K+>M z=p3&U?t+-S8URMkB?md9IpY)zLID&gz@ftcF-BZqFrWm+4n-#Z^x|;C(w!g~`9^2~ zs&@)R=YvTZ&PPg4P~pV@J%Jwds=3Z7tWE)5X%}!g&NDy_8-{Q*PW#Ka@Ms|RG@#^k zpa!l9%|DLRgy3|fQ;|Rq#V6L981BU>8K49sJt@?OBc&p-J!k;P&M3|fDGm=xa<3UQ z0Mc;Obv<~cI47DgJ5T}@?kPbckQOHcr7lP~JtzTkNy((o&T&czJt-I!IrX3gW!y8G zZBFchv^cmVp;Qt^c{BiRVz@1iGeYb!e9g~HdeSaYuzr+njEo+6&V48W%ehzP<$qdZ zD8}R)6w;gwg>BRnC3ro3X#nW?-Z&;oBe)+JG4BHy(N%Q{7j~+^R_wvMBO$qm#~QHw8eM$ut1h z;D#TSI!+30$27(uuo>csZ~)21C;@rgMmtn}Mh9He+1*zvGm*_HK*|b`8h{uwjyF?^ zkV^s%Xc=Y8o`#bffx(~%@I7$U?fWno_3Mge;!e?wiUXD5#zF5)VJKBxgT`r!0a5@t zJkaD04j6W&JdmLF?LZ0!6sbLX(<8w7WL)4LM_OF`)Y&jO27nBPNwJ*!F zaSfm6OawC=b@ZeH0n~a?jHw|06!37kBd>a5{H{d+HGW{jxTFAc?M`kSoOPy=k&!?M zPwP!&=Yxtcc_Xb0*PcxPG?2t#V2X-F1o~8tKyEoS#~ciIpa@wo+!~3y)Z`r0L}N4z zh44i{LEXC$OdW`2B&^1yqV^K5XgC`pCY7_p0yiN850_b>PPEQwE$}9 z-|_6w0q6Q^c$ZSN7Zx%* zMoC@9P&xc+20H9|nn7^#eW($)dCL1>`_`4Tn#YENTFql4#8{9)%IDM(Q(3{QYS(M2 zTtLBLPu-?5xQ<6(dH~k&eyeeQF0HSl^6ssl4ewn%sr$7Do-3U2hMRK)mv`66<;`|} zXxq2>HPhUTM!!k~a=Puy+HKhyw(R%CbNYV0J?6BwEpCBwa^#%g4A)_(*++FCS(%bD zgk^nelhb@LcVn(C>&p|yrM_-=5JwaU>Ma)s@uq4`hH9~Fzjxf!I+A8qpb^RH_uf_H zR?b#9r9w*|P-`oD{{Rm^O49KThjXb<3qt6kAVJ;lQRsRl)}KD>nGw?*FDC|_%qmb- z9obLKlz#($RE9~9{Ih?`x?ygopr~e#XF*QpUsI2Bn|p^t^EMCnX=7=n`-;t0H9aNg zk>=g#eweE|Y-~Kkjkq|aas5^$FDCT#jEY|(^nc4X{Oh5&hj8cfsHKm$%}(U|2%OZD z6#L}VmTNWSZ1B45Q;fAuccNU}yZLj*p}lI>k*7AF2bC;{$2rQ=4`oSi%B!bLTONF4 z=|q})#-zQ^$-ZA@tnq(-{p5~EsPil?s!unQs`c#MR6{Qw)Ki+Zp)ZE@UKIMnMU++~} zZ@S=Srd>4$GGzTK8=Wi87+2UnnWE=RFp-H^-g&O7uh+FZ?C$>n$BI}qgKs*?=I`5{ zHv3iR;>T)7Txh~3Z`jqORO{WC_M=d{RZ$EZLJ!uiO%cvlf@?lY0!b#EWZ>?NToWrK zjVarJYIu+@1!#)T$~&@ZbW@Dxs#PT+C?r&w0CL#*L8xIn3; zPsDc7?|m(2U*h{QNgD&$9G}2b1J1lJsIHrU}* z5y;R(G>Wgcf}xHN`2Q2+eJ2eiGNUOI5nC((Yz~ zxDFSC^q^%uKIx#DWI{u)Ucra>*3^2fjM5`W<|kk84&t))Z3|S2`*|)UJncb}2d!MzJUgrC(4^XypFO-zc8MK+T>4~jKowz?(bdth zB61I?t?Mrm$ObQ1ZSD^Tj)W23xsXp4)7W??#CMh=@*O)dGKL%ERU~~06bRJu2Z}T~ z^d+~pw|Vt-kpBRtsUa9K$=(=bk~%d zj@3t1@V>F7S+(8$ppFG0O9>URo}CRr{{RTyt0WOjmb;^rWk_}8V>#02R%gp1o^RP|)=o7zEcAr6VV(A%ObeoK|V4U^Toxeo24NYWf?&nEwET zOHTg)u3yyG&bl|;m+=0z^f!Ue{8Ack{c}Ie)>1Tyvgd(KjGWVEJn=}Uih_!o&9oYf zK25|yqF#fk2h>%_e6=6}fS>{N0-DUeU|#g#K|CkpNsK%G6=zEy@iMpn0Is5-1EpEg z$NWrfzw4l;gwLL?udXzo7hPW2AKycFCO32=amXXk)yt0*M|(2KA{%TRh*#!S&n<)0 zJO2Oz5B~rYTE$$3>``wP zSlHV^E{ks{)suLV>SoHW?g2s65IdfSn&chXixy(e=Omt_`qyKud@=DJk)~W~7inqa zK)amBKH>&I^!Khx%Gz7o*exxSeDkN2rS%28AUFyE8dajDhHVXawwT z&*H0FXkeQ~Tal>{OG`f8ksg4@-#G412DtfIotd4BJCaKil23D2{7a$V_;*oz4MsFc zR0a%(D(mVGaaa63;H_W88coKfWAQ6!V*JJ+x3Zuk@2mE-3BRhQFbLrC=phM;HuAdH#{{Rw; z371%!+sTschzl7%dDH`v$Drs3y>Pg|Jm=rmpLM6)Sl!QQb03v)12Qr&83(^ghBC4p zueBI|ccGvN&-%>PzMZKgSV`jb`?%vi)o;SS554gnytCWQ1PdE%0#Zif`Egk{)-q|A zQd!)H5=3;d2%#ae#6=hV-pFO+dx|z7qLg zysRo;4R}jc@djSjHHrbjmS)2K9nEUrd^y)_?cP|;z0T41BXhX@0UXg`+=~AI#i1>S z_BNa}sh%Sf$dWP0ILj$--nE#PUh*Xp~5$<8gvGBhr|vLeXFsuUfTfG_W{j zJFB$#Wd)pieygg_Y_}5IM9sEE&j15~o|Mb)h;ZE5+*=(A*?iS1t-J1%-jNqMJDpzs zSp1MmM9uSeJ$-wA6JH#@PysP{FmtN0sM z(rnt~n66`AzF}h9KD5d+Exbvt>G#cXYj((Hh})3IaM*u`n$0Xt!|pj_&VIGC{{RT} z-rqFdKxVN{rxnMS_m3n)RO7tHEc-rs8I>XuOcGhbVg_~$xayxTE zR|d{@Ux^yP@Wg%}u(wMXh}kTt#if@$NhI-_NqLX~M?FB-5ZM5=j-w zmQP+r0)yy3I^a=u9!~7~)9E8ec{ZXm_EpABAO;I6?0!)6u7kmTDn1&z^X1c4@sUrG z+khx~X9Qr?Z7;&Q_OB+*J4w2omy?CS8TBWDTO;9zj8FW)=Klb{k^caV76CQ?0ExaT z*Dd6>mh4(vNKeTC+Qm=smK@@_1zyAPKDF8ph8{5^{y?&G{{T%B{AeEwJYW9+KzASZ z)j!6I0mhs%l#paq?+)uahle#NFEsf#tZkB+#z%2lb9fuXk<8IYrO9z3{pE#(nELG| ztVQte#gg>VZWHPhe_94!@pr{NcV9_hvO%QVOaPHli%9|1tH0}pS@E22U_xfCql)#=advUb1V;ej9irU+~X}+VfPj@~zqyLRG_@ zoMVO0rFwUqzucGp_%st?^wUY^{{Yh|zwg(UScHqsIZ#U{!B0O!_k$bXF(9VxGYNgI)z^q@lW8#Eqz zQZ5;>nnJA``x*u*T#mHRPV63ONj*g_{E7f+&hAGPGhpNza~<58VNhrQBx84aami8M zlN?~v6;p9t_&sqz4ifaGV9akqyaBLz+n1P1IRryN*EE&cMi0O z7|FEqI*I^PV17f!DZ6mlE0LbmFd(0k1b3zT@%*H#4^H$9Fr~)c2sDyx+;^^h=}_i8 zr~r<+q&SxaMlsfa5b&Qd!0akDBMb)w^rsVqAdDY+j10zAhbN(?1k!UBTR8Tn05*~i zMk!F0h#<+&tt+O)z&v`;1Z%fxC#@MB7-V9a`@4#Ca&1N>dhjR$D9_8ahsqBW;8#18 zb50Gx=qaU29+^`>34+EhTJ$NpCavS zW3V)s>`rI^+mz2=V@z&I2 zIO|Ms!Tw&90Mef^BBOlfq$K8={CDDjA!2dCrap0#Ph|`^H4CYrWK2lnm`LwZxXnUG z9Et#rTz0C`b*nAGs3f38c{Qx38l$3r z$DV)MHEc+`-Bq$vtpX$|N~09W-5T6;EBD^pkR3X=Li-3DH?0bWEy#%jC9 zl@m&OW}|5h1d>LeGKKZ1u2*#BTBoy8SQF|gx~goMnSCfIr0_?B(x8$Q8O1Ql#CN6_ zKBj;l9Dgt(qn0(@mi4RFsq-m5l}k`Vyp`#i0M6AuOF4FXWAmnI>bAOayq|r(ZMAaJ zA$5OiYaq(aG9eztg;wz^iyeOY#Yg%)7m}d$;E=Q#Rg;VxLq6RraEJvVl4tAsRZq1q zaYx$m(AKAeI<=W=%Vz4vm&jhF>XTj?iLVLZ3Jkd_%c*>-4!Ha&rJ@}wiI#Tgjra;_ zOP2lz{6!qatTf)`<1p?8M)xYcD!SO+KT@hbwJPa<^U_oJ3S7qV!O4)zea|1xp_1{N zp#3XO9U1^KRgb+t?CA-@#y=Wd$5e2A%ynC-{^A^ZnwmW}SN{N7;eM5(4n3+!Bi{c2 zS}t_Q4-{veG9*u%E$>q$tO!4LxIXo_EvNb)PvZWSlOlO<^`vg06afZ0RFOih{51#9 z?N=<-iS1HWfi199Qh2CZG{tD&KujQmSq#q`5NV8gNX;Cy2Ej8WOr)+I^Z*%(0XVp^pWJq5Uh$E4s7)0Fi#r z{<$Ch2+%Xo{0*gAOGY)ju98 z@}8esP1t1nf$Y^RZd0c5RomrZQw~%dnx0@WymO*MtLZZ8kU|$xvm2#lP>n!hopQ-(yW%!#y>74AS$$wv0q`6k@TvFnaKF3U)Oa> z65C&q%(jf!jpGPWi02>y&lRQO**skS8?bG3GU>l3k@s+0XUa}F&fi+o_>Q*mXxe(~ z0S}5v{{Toa5OAE}J~+-XkH@uZd`|u6!)+Jt{{XFv{{Td`DKsJf0NFR12Zyw4e+sId zTT*h;N^o}tO~f4V2Q<$!#&(OLLlnl`WE9ZvFOf9&fL zWQa=KfJwn`pd8ha{{RW~)Brz0z`iluT1hY1*|$W2lRF9HE7zy3V|+E%?6i$eQK~oY zZbm-tP!;K#+*?nGE9EV#1cj3^sq)Uq$jAhd=~BSJ*X(us&lBmkJ|urKX@UjX%67(B z=kD?AT^6%ztJ>;+*`5*G6cO92$(^HXF+I<)u5($r*R;PBSzq{<%$8VKVEmvJ2aqvc z7OvXfr>HKg;W<<6w=hPoOE2DB@IeQWnwAGW;%jdfu9+)qHw@N_M&9)RlcQYOTnoFVjyPdbT$bbv zdsaTHa<^CWOrLd+A5PTJ6KLOdU!eZA^dErR9tzWokOIV5l6!xXUp{CnzG)ZR{A<$( zivG*tq|mLQji;6|7WRHZcR2hjIU`7(f;F8ZN+!oJptKvZK0z`}fXH2^~9rb?0|l-NoErXN4I&&%L$D$n>q> z40v;FG2UEW+xI>|moFbU$pBR-j_0r^i!?7KB$HBtHYgaQwhH8QHK*a7Yfja!<9#yi zE<`dYa-9Zi#C7Wl;VI`ujsE~T{ObFMOpG6I!nS-H;wii#6t|x#w|s85?(RPBKhH{w zSWTS2if5A7#k!o9(#Z=g)VOHasUI=!E4}z1cXfTMU#;EU#OviC0!dl0OMPq3b^S$k zTlsE2$rKXHE6FC-CwAgnzhTn5?|^)3SL6MN^Zx*SR?sF#52|W6(p=p`E%nQxk%3l~ zh$B75Yoz!~rKRVH9{O{OYZ%Oodv5Ld44UM1U-=8&0sjC!oBsepHR@g$Hl7Ule3$yX zOik+&$Op{(vI74A-y=0dH(|HevH0u4I-;P(#-DIzfqRu5zNK^dS26I6pZH79v?P8+ ze}#134)K44Vby-eq*>2p_Rp2P%#|m%R^qDoZ$g(r_@#SjBR)@-(?oqxk&nx#;aU=G zc#;cW8Lp?LF4WdEw`GOc^~Y@g0Ce>BHJk9VCx^m1^}PF$;aSy`@Ko%sPsG~2=8f?m zRKB$)GM7)eeMWf(y*ER=wbnE%?MlMkH(fyQIZy$1*hfC~Edj~s?0hfqvTatx`FGdS z1oKMrfSe3|1M{q33}`+p@eR9b8h)hluJXI(xM9zF+VDQ5XW~x_P2rtMjART(_|DuM zs}HAot!v;P7r}G(Ya7oiPu-t6Cn~t^LgzWAS&8ER01Rq+4#~Ak%WIiuV!`5&vuCN@ zkSm%cWK5sE{Qi~N{7t#DwHBH^jo;d)wh#7}>+?1^C%NPBt|}%*K@0x?z+3yKroehv z!pRtTV^NjA<=b?F>IP~L71_h$?Ey8*1uJ&~kvQ(^Kd7s}3L_uzi|bLo24j?Dp1&#o z01Dx}DdJf)E7Kj(X)NU>C5(3mgI8?;?X`2CgU}AfNXO+_zY8qV-aS)Xop82Lkc9f< zC;0*R*5||zv9H5*Z7XQCo>+`~dUrfhz0HS#{2Oa!sl)b}F9+`-9a!TmPq67(jH`2S z-ZJ=qHSNjYdtl_Z%a511`~l5V_&IKqYIl+PDE=?4Yj_L9+6CUHCYPsaUt)^w2yj~= z&N0%N;0-kRd&aueoX7We;4mYSRE&f95kdhqI4pc`rCu9Ij9Q+Y_)^F3ucbkxz+2%y zr2yTz94q}qgEh$6>oaLSBcD>TW36+#|JFVa8x0dr*-b*4hfn|n9Bsk4=_WuCc zzB%yqzMpk?Ep-bqh}`r%bUw!w2A|*vbX%0vwe4EjZ6IL(0K04!E65!G07?oed|kGJ z4QASVXvAjb9r6MF?_bCIR}3WMC-SbJ#-1P^B-Y;g**v%-PbxT%U8AEPb6mXf1dq*6 zyWDpbETm}JYPXh_a=~kL<=fm0NWIv4RWr|8-0+u$-^37J%q~*iJ6Ox^W!zkjaahGE z63aSvtElOMQKwzKu#|0boDx2@-F!Gpog&`zS=F7QvylrT%z2fu_ppCM-nst(0%=-x z_Mr3XlSZ)H;Oue&u|3Xv3haDUqc4eOA7t?zq;{*1F4#7HVc&yID~Y=p{t3Q`v~LpJ zMy(sRS$h$j_x8;n3GQW@T}laD$rDD~qhrGyb-}7W4b?1k+snN>R(wS%F&PitBavGc zF=;*riuQQ*RK2*kQ{=-M;QMEv)}4uD-Wan@N5Io*vcAywStr{dkUi@o;x+W~+{dIr z8(Uc0qV11v-RIQ$(%9-YiSXv?Ib~J4nsDhF{q7ic9V%N*Lf^$!i>2!F#|`DGVjGvn zSbNnJjvu{Px4D|x{L5)%hC&MNM!~_PzJS|ZNdq!DjkcCUkbZ`)_e1BW#w7xbMz_gK^+)AYM{xVV>v zRqgIiVeejv55eo(dow<@t?5<)e)j1JE00st@~(Tp-Xj_ggL5{TRy{)RcIg#{^ik1A zr>%MBv#e?wrPIxQc{RhI@5oI9I?Z@^YeE0-~&^%XZe`j+vXk09SHVY<3L9eYWE?~a0 zg6iJ}DdSlb`w@{}73-<~n``yQ>t9rSBfWd?1Xvb)`Dx{kU@0&=JSgZXcr_NlI>|vq zKg7rAX$+Sm)|y#I@d}6}W2rx#JS2XU0O2AYj40j*@d}NVPu>1i89+YqADsYM-oM@y zd5P*Ou4DfCf8Rova({V0@1Z~zJkf)LvgFoxx{{X(l0k(%0>!^IPpu)C58*TxPyrYq^v8NP z0(wvuDQZltirB?xIX!B|qcCZ9aaFo|iU}Hau+2AU=8=~Njs-a99ch6O2X_?qImT%Y zatNWQ0Wva0Gj9ZgKsh|qfEb_#l0pJGrsVK(ij|P;;+eUZh7YT%9>$k{ z8QV$b4@}SkV0Bifa(N?~MG>*iaA|rTO#mU!QYly*bo^*1aK>odLg3H>24XlAxj4ZT zi@UJmjF1j!0YL$IrvfpNOjZOC!!+zJ-oDp7#_3%I4+?im4i&ss@F*HE}QpaH=z$pan5CVdY+)MqCcqi!;H^VkYNH|BGd>UxTK^h|~n zi8>kW*rqz9dko`(PdjDeLK)G2obhdvw*+Cj)Uo5sIUk)d)QoNK(=@9vU`v7`j! z%Pb1!mB$}1C)$8P10YZVfN~Cgv@zH?t@reN*C z=9mmo#_ZC72N|G++mS+%Kn-t~tuU)@%}R0BnolI-ia;^IAQCyI79@P5ntL3m%|-?e zSD~N=x6CRsL0(NrJr84iiOPpSAM5844rDkwOURo0Rwla%}U0jGy$=qw`j1NN^l|=zKBlcp63rQkP#!bX9+U|le_`T_+c;7$E!~&p0o%84^P1Ms{8M>< zszU?Wg^i9GhIp=?3p;yt3mgcbdsT(N=m7jE86x99@G7x%<7i)MtD2>)>lT_-x1W0` zMd+ieG4uj~SjO>8*T@xgxm9G(&drVo>sZ=6`s7-?5o#0pu*sdt5zWpwQqB*at!k&(&u6avub>_$p`Y1)v%e9>Yyl+Ud{Q2C3{ z9Zx>-P1Vh;gUXnqoSZE`K$>|KMDmv3O+Bl#M z+hNN6Dy8uGkJhv;*92y%-;bN|sso$SE~ZZ#M217L3OcFv1ansP)cbFW^?m;Ud+i0= z>P~8HKStT&Yi&R?HVTJEUzZv6tGb1U{8So^^kPkyG8Xd6{m*RHe8X`t(?wqLx8YJY zlL~bVf7&fucJXJnDK0JZ#wyb>_7LoFw=+5<l z7rAg7k_BDT^pw*r#k@@;sbX?zT(4&i#PQme$poAX)my0c#9Jg_X1WVV$ClYR$i-2( zwcZ&qobf>Ha5wid!(y%HKbYUelbYzX1Zi};StNF5bjc*=xu@OuPTqKwJd=E*18zUU zwDkKGw6~4{ow59&o~D2;tQfOyJu3&qS&vPXkdOcZ!hfT%`c_ta2z*5SCE^3H5 z5^w57XqXiMIQfk+?T}kL{{R^^AZ1r|rhmu|$A9-v)9)JQv^^ztIVV?}$?{Wvah&sB zvGDH37x3d+GRJT&<{`r%JC%U_DY21w2VIFG{>8nKW0DO0nb`3pXQ0ohuH{8}cZ@tc z{gFDdZZ?yW@Hoz6BlvUty+uQ&_={iDq1g=PXuEDsMEo(|NNqmEE)qg*Y5^=qq|n4*t*K(rZ83 zzFoEC!Zx#A%jOJ_r1m{C^sf8GzYDxS9k#Wo#Mj92$1TD~y>@~!K>NAIe@fy!Gvi$| z{t;22c%M&uyL)%@ZTzE#CzG`Ez~`^06>-!|)$p%^(@uiYZC78DM%FbLvu6x=`=_Sw z@E^{&ZxGsE*m#2e+f{QV;7+OS%OASw*;yVoZ@ zD-XnayKB1j-m4@c7P)=f0mxCPay3K$0DLch z!nvZpWW0Rau&Tc1w(UG0tlsH!>K1n1WESL!0d-bfduEUr*OSe4JeLQ*t?-(AZ!yjyn0$}k8R9S5fs9iEAOd8J=!P@YARN1-1R#%?u27t7GLj$8Tz&Hhr8te|HKsg2cmDtg^tzL55UtwVk`7Md3D47t)tA86R+_cmo%XADnhia#WMwC2(t(2X z&TvNtn9f;FVvPE;e@fi6@piRqD78&OZ!HeR-GhKX3Q7DidvB#_SFFk9Y7;y$=OBgz z15sc2YW59RScyz>{{UsV^UAkv!E!x$G+YXrzO8+!v{T>D=QvZha)Gy#=}_2fH`;Dn zPq=tDec-2q_*T34O8(;GNwtCEXVoL!2_%8^JXTTA?v}>x=#<%MIJc9YcO2s$zjV?8 zx7yyNeG5Z%cPx>&B?;o8T}J#ykuA*OWBZC2;cIFi3*F7DrIe2p5B5K`ZrzczN4$O{ zcJ->i*hl^m{{Zbzv_^IqFIFpbXis?&XNbEzFM@IVw*C)mqZH(JyW8ZeW#B zUfBe%^9*g{kbCu``yy|$+guqU3v$I2ZshNscmF018ib3R##ZP1DOLi_n852 z&Y+UkNZv$np^)H=_x7j7rrb#^QH_AgyO6Vh2&G^i+O^wtleXQVKp3jG6Fl#}Ml5R0 zj+s65j*uAlCtdCoeq_`24Ib}Lf;p~L1acA-qlU>HdQo60!z_@t_|DROD%@Jep$Zg= z<-(szq7oPWx0*X%YL4ZjJ5orn7~`NL80+}fSN4SW5;DwMZE&)x&IRr92d>(3~t?}qtXApJ+ZHeHN-#2Zxq01x+QLKRt^ndD;96P?8JODYl&_hrnxOMvlQJee#dXJSlsQ-|O4HpuG21kkO>ZB}h)z{ct|@M% zxx0`(+g;ocFbkc;erBTcpVj{W@2X*y&vW>-MZlIY(71So%xpR`@JH)XiSB&XxEB|G zV{pyp9G}ND#F_lJM?b^z{b?dHBwI80zVD`Kg@{#RB$BS(+n@fuNXp^@EvJ#8=+Wfi ze+q8E58nBEjMR~bQ@$|7cNpQQ=CD|gc?-G{RQF}bH7eZ8EL&!pNqqw`BAFuY{q$G> z{pF?xR9xTydr{05CW=z7HjE5_XuZi3?Z>VuQa36XLckH4Y^1QC7zB>=Tn?w<*NQE) z6u3+4c_)fg`L?clj9}KQYJU!--;Fx@H`;dXbMl}2>lMMl7nt!thy81WU#a4~HrL_S ziw&QdeRHW#apjNP+gk=ZzmOkOK#w-^mPy($%^Do-&-JLlUgduZ^c!!5w{p!Cm$y+t zZX4!>erZ*G$QyH4b-#w1Xt-NV6}1_s!2R^mDnLH1oS({$Vp=@NgvapEk$PkDtvzK-&W7eFyw>~Mx^s-SGHKi_EywQSLH^LByIt3ygM+uN zYWTOq@1Ui+J?uB0U=O|^zyZhifc307rp(B5{4`$08X8yF6H!m?FE{MJ#pQMO{41)r z@gIbAd!IW^vbk9T@cWB(?a39w89nN(@@F+5UDfqgyuOxjaAab{)>QWl{aBh~zcmr2 zF~Nu&5Q8p6Jhe@~?PjP^KoTRE-CYg{ zJmn;9mWH5_eEmYci>MCUwDv@TN54ocQX3ao@h_w2B|*f z`-sh-Od4yKRNM0Y9Yp|Uc-zEtXqrUR+cNpsz+=we!n`uh_fheeimkNyE+&%V@$k-B zn_~4R8O8vwU-AB(CD(=STXu2DIL{b0<-ZNQOW{uh&prL@x0;TpBJ7MsZUV+TfJy3m z&<9E5pNIAy6!7%gn`*Mpw*ew57ju0H(zPfXBA*MeM7& zo-7|)wHL$thdDFb?e#gXufZNG)3v5seOA@tx(Y}!5#^EeJcC{8S?I(9IsX8G8bi6{ zcApKUo^?i+*eUAaNv@~<6+VF0g%(M^)whW_19z@5(z{$FlfzUH!7&AXqDs%9!*gr_#NRuB25Sb_*WDszDZ+r%5EbRfVmz zvmCsE0AhX5TCH_+Ba+8p`Wj*r%rf4%VS!dT4TU+yW?4CV=3~!F)+mQ09+poJj!q+T)#(T&THXbRhNo@fCXSNM1|oLxEvY)P&rsAlr~_>PJ==7kV*?ygF)BzMan_rWwBX@QDGVb3 zb3hRQ3vLbgdQ;dI+E9GJW7yI$QG!9p9O9oFe8gY{J;eYp5?3Sdcco%HwF8FDBQGQa zo_f=lk^#mj0j$S)$;dRIf=&k~iXs?n5#EuME1rAM05OA;$m0|OA_1|%;+?_c*ON$g zu)~f8A&uc!kwL@C2yA-L=%z5snnI(?1$y+L1s{3A>DrN)oCf0*+?;coaoh;`c%T7x zoZ#Y{CKa)Rk6Kp%jmj}emT2(DKhl5%=kJUY%9>*?N6a!$G!;8Z3{E-mOwV; zY8M9}o|FJ_wB&J3akrHmcc~eET<4S8n#6@5bnie79@LC@$9i!pdQu#H>Hvv`4l~x7 zo^jHi>{$G#r%GcCo73-jExbH~e@f z`^Wj!-qlM*{{W8!{{VQ^+CmC5N=FpNI5fr#)#m>I7E#WnXC~~%@n8=^4>jmgn&Unt z+nF@0dyqJWLJ#6U`qfAdW5W^`ihFkGM^CMGe+=#k)32=LIX2So1K99?m2e&(o5|Ev zXNTgu9|umhUMrs2x?RV|)N@h?bewdi$;~vrDW&s3jNcGVGGcnD_>nN<>YBeE`PazP%YwsTIvt_Bvw((ZBX9X*A!oI9`Qz?#54I53Ov zncH^r^);iaYS2W;k0#yNR{rjLA8N+c?;bF*$NsWU#{<@tIX> z#7An`wT9m62-+47l5hyFGJQAAVp+s;6UlCk`1YxMIeywb{E2dQ#_NU$+mJOLVM8g0#bM&i1DQS0i7ArK+nEqk4 zgLB8yy59(R;k0;MgAkrft8X7E9go(yPb|Osuv_RTFw8o}-<2>u1H_&>mtKksdx`C1 zf(G*yRSzsiGJguhZCVlk058%10Kj3*a^y1gQYfHjQT?lGdf(^%*i+-w?E7Es{{XfX z$||ww4LHXBhJZW0FI}>+)L_1kSP3)?8F2v^ILD=S+F!+p?e8L*=TwSl)bhSr$^9$C z{HOlu{3_7X?xc@&Hn$A8%P@?Q`OpVhs(eoI74#Q2-)dz`g=B5mKOyQdjC8I)XUU%Z zYI|#2i9SdzXLtVU#!38uq@F1ta+0IQL@pYhiMN z{UnkeaCj+ynTKGkv_H}mA& ziUPLtlmnm#wLwJyJz0!lOB@!?bKlmaH@9Wk&BVpzU5wk#S$bfe;}r*NQl8YnbICFJ ze8%~C9Q35z=jSeWcRA$Ja7{0208cQU?0*_f+3ot#_zFz>3IKNV=mjBT>q$ibCnkzI zQsR(?flnOLVx9&l0L5Fsom)$@iDq|b%Z7=E%9%L?f1cG=wQj!1z8Z`EB=-w)&;I}) z?B`(spOg$^^`Hds_x5ahef{326HZrZBmuVPJ@fcfgI3TNri9;((dqeCJZ~@de9DLS zMVCKXr9jTU-^3mqi#xnHWCUP?a@hV=bHy4>r21xyd!t!-4|^Pp(eK^&eb0Wi!q<1= z8KjTFo*8JJk%Uun536E=S%IWkCZ~N3p}E*w?(R~=l6nDK9yjo-_)AfW$lNW=b3(H$ zikm^my9X+9X= zFN37lp}m4%BoigNkC{|=-JDi;fIJqSE4g%iPCG4(6-iy$W5^vwGwV-+^%vpSl^(DA z;K2QfNzOCWoM7?WHH+Z6t`k<2!Kp{5KGJ;WBo3pF1u=;xibshXN0Ragktb+;{ACU^ z&*@seJMhF_8kWuhelOvs<$m=2aRwrgvCnK)h9CKc&rB9^lIuvo; zcxOmh=8Q1%qGe(K00P~g*1S>Yk|`e_^3`^q%zuS@4~GZ*NOb=I`r@>tSwVam;mGAz zHrH-LZe)4BQ|-XbZRz?yg(bR*3A8975;DddZefyf$lO0ll0oxxP=Wi{cm3ZmejL_d zdB=}*J8c8SmwIiJ7L6EfEHFkuIQk6M6G!{uAIz=(?|SHdBVA2<<84M+)njFqqf@sV zm3Rm88LUP=W&CaZ!e)KlCc~?}DBl)5e`9wR3MA_f08Cnn9h4 zz3_O+J@H;eU1x#(V|C$qBoRU`?Iz{z+4hxh?*t#cZOO5i9TB%sZ&; z1$pspvFy)!j6FHQK4Pm%E&Hc$@QXmR*1SnHx`b;qHc@%LSs4t(;AD3l>)mc_^!-lH z`#%2GDJ`2S06?WX0;F^zzG(2Sw|SszNvPT3-9cAJVirX`LC5LoUH+@_dhT60;nTFZ z?CzO%`OPN%xg9{j$@Nb(Sw77=h)Zu4+TgX^~({WD%)dvPt@_h+>NTr5?T$gll~@} zfBQ10^A+?>yqi$Jt$f`JZN9Z}+C@LDeFtea`yzdL{VTP*9K)~mH;o*;ojSA<-1;(Jac>w&fmkasyH9_&cJu9Hs{5Py= zmT_F_ntNKr-zxA1{PWYPu6E;snBsvCa0hy;DIC+8iSJOllS~MYS}4U700GTUb~bGP z0Cu3K$s@=)=kTU7Dk#CCfrS)NKm~Q)2Dz5ndui?DF-sid3>y{5*H7TT^{b!ZnSWXU z`o7;)d2C}P*ttMk)87KKHM^^;bYHQ?Ug40Dy?6k3;*!g6*x_%N?(y$et>bxb4BL+p zkoKv{VH#8J!VU!WZRZMK~90=RVQFk>U!3C$tQI$>585?!Rs6Q9>Rf{ zzBIVMeG=x@%1GizTr)^O2R*qJ^Uk@U-05n!ZFgxSiE^GyyU01tPaUi1Zx$;zhi@c@ zbem(#EqvRkY7WZqn>J?t@F%THMI+q49ehf-)NRCC^zpj{&zZs=o$+3O;|EE#`Q?er zEAkF=)aJO2BU%2;4ffj^Wk%p(_T%(5Eylht?sIQ$ZPa!xyY=FW4Wqm8TShgQ%!GhC z*RZA4x0VXrD*pho)$?YNCEeBfTZbrQJ+oeo4}s-qR!6yYcNuS)iKa!5OgBl@WQQ3L{DOKK_V0c|$=G;4w8mY$HZx2^WL%7G{Ar_p zG1yd!%6?q)QJx!$08Qj|qoLe7QsA=uqLB|6BauK3#zx^$oP*Cwe({_Wif(rkwZA$5 z3vFH(G$V0_28_I82Lw`w$mW0y;(`>8SmuW3r8Exvr~$#T!J(8lLxW0~U`9V0V1M3i z=|B!rSFbcF!~JM%sKqLSAi(M<0T>Y6bfX}iXh7W?wJRVziU4Q;9Ew&LITV}@l&z9@ z98dzV`Ex_zl7QI-NTg6j013xRa}4&TZpArYbpRnGa77E(BAuFMXaUYa7@%^(9j z(jF)Q#B-5O=hm2~H0%lh#5-JV6$*!i%N|tHu)zm7rEfEII{VQeIOhY}gd^^-p@CA^=zG!=ouKoIKru4EjSdLpb528-!~NPaKr7mS7*sIfzF;W{82)ti zL6S#YQ(1PLkw6ihqXc@=?gOac(ttLcj{H+VC2>FurHa4%J6CJka;x1GAIH! zXU8L@H1w&MDNgyQfICT`1~47XM8ckM2R-Sm2wczwcNjR$M*LK-ii!H-fJ80UqI#9a zDi@$+Pf$Hf^$XGhw4G`vsbr{|r2uJZ7tzHDf?t&9Dt&WWUVM1_;<;w2?ImNC&jNrt zA2m<$QyxY2tf1_B(8axIxEc*8J?kgLunXM|?iCxDA!Q!op8LINyyF@B-!JD)Fg%*g z{F}&|(S>zW&-N`_PSh8mag*);0P3z^RD|1VR}pmCBLVr=+|BmC4sJZOI6s994^4ZR z@EaqZYCp9vsH`0-=k1z3tl7yagY_I#uI7*%Hul8nlj}0{lI3k8`UNb<^T7NmziUq~ z?=4=`eouvZ9?#;woVVAS<@3cR>?2q0DBbH1#L+_O6shvaTWf9VMNA3LTFvnkjw81S z4&wDK+}4`AH1s_;bU?8AewiomuBd5RZ`lEkEzggbNPyzF{Tll6-I77)5)aC_`_yyq0fHnEF)de+d3O)+F=2)a^Oj^B>{q zijKQs@SbH@^2*0TbqM`v{?U*7)z4wQ=)+YRSm?jBWB&CAP>=i7&ivGT-n0tGKy?`Z z0K6%OQH%S+uuf@*Gz!M^YB7I$qHRVmTEcAl)NP+y1!HPmMlV``-NxsGSwwr)Jyzh^ z*vk99W*b)?v;~fL#9D#B)TEO?yI+7%r4sv|(h&1F;pEs%hV1pY0azVqkb9zt`HWYF007eCu{*i1YIGPyV%J zO{&bWv{I2FCy!sPal%q^_m5JgI&M7C8FQ$`sM;9r)CrJqSJ$;uw@b|~NZ#Xi_WPnv zgn9!~*e)jX_NzK8s4bj~?ZlaDclWh@uT<**APjzRvFo2l7g zd1K}o;k%_jT(Xl)%EC)TPYyb#wP!Z5m`Y2M;9xhcTDv(f(nUBLTM5i;*;o6^>ODno zN#Wa=w-9p~=zB2hkzDY&65J~~7XBa3y)H;t2%`u6RBFGFtt252h`zv=Pedzl;jsXqsX_9mCcy@Rr{oBhIuHN5`U$)b(VobV8Ht*tRJwH0v zfLvS1UKa|*anKR^(^fX!x>q~DtpH?AqqN^MK%ejy{{Z#V;_&V~$3)MTxok|Uf%x_O zD_?x0=FiRB(>}BYd@=?33Ck(<^`H#D?1*Fg=-YQ~+rN|gRh7~}`5M{3**O z?=?)%e{FUx;kzg2mLjB(GRv$#~xyj%V4+z=6-sUj_+nEKaK<2W=+Z5l7^g9O(efA205=gd2H z{HwPdd!Jh6e*A8U^KOxL{Y_|V_BPg#TL>*Dwqy6CmQdk{>wo~p4KbOzag{X~8VQ6F z2Fl2Pg!@d1(o1*txfl)7jN!QH%^F%O>d^@;n(;A{67^w8s*o>OEvMMWAG+t| zwARqSI?ED+mO4z zhn%0zfigFqG@MBqbp9Q=6@SE1eWvQzmy7;A;~Qg80`d2F?^$L)GCltQ$5T3=x5BO5 zr}UsjtDRD5aR9<)(;GS_&*=h}G8l-VVU0-^;rccx9P{$tosl3m>ll(kn zcKm4?JTE`}b)aL`Qj-aOK>LZo=}B>OEzk=iF97hpDZ3MJJx8IX{n%{0V6UYxCDU0m za$o*T*>mu*P7L{*R?x#O|!4=$SauC z;~!B|VV#f18-%;@9gNX^>9pu%WA$9~_+q$W#oQnD&W%Fi;_~V4Zs%#|l;xR^B-C-f zCXAlkRYyTGH0s0NoO!9N>~HS(y^JjTn`Z7gC$P_Y)6;x4s_NG6mQXD6z`qn{M&ADE=b7K=-NHr? z)fD~BSes6~wv8Rv#Hg{f zOfs%AK_8t|vGD|RY9C{_k~uCnZN?4{(D$!1f$ktd<<8+V{;V+z^v9)NzSJVSi}yAu z1fw6k5|ViIIjpQ*&CiKfH-FoIVwJwpafEO#3^k`~1W3rY4N&$!wPDPc)~c2)j!zcVLm9T2s=3NF_eitKs9S;(zRT zcl6%daz$lI-75ZxZnsS;wxX680OiR6{{Sigv3>op`AMyQe(khovdEYpo3DOFTfDV~ zDM(FDDM*kqEVMP>BFcs2xIk3`WsB}NA zb9KAnc|5r#3*4#wXani}CDrX9f0yozFebW<2WzAM0J5P@?rVbZ^~QATc>KEU4Uo;nfOk3&EnDe;jae;i&UJ6mbwuc_v`zl1ie9+qy1UoF_? zX-~{pz@(E^Zi=xF1PN^(epNsK9@rH&uoh!i*shQFkKsu(${(-$**L{!f}?X~y(!5o zVEp9$Xc?t%c^=6jxk6x=d2Uy(4{=|?x6__! zL*@QQ9e(g*ngHg{z=#|DW~mwa#^3x^1K=Hq>8gZp_F?}3?5n4c%6hZD-t2g&Rl(}a zJ<*K>T*tEb9cyWI9mn?V$Y{<+*ns|I*J&Sxf&c~iZ(^dbO~F|`d+1Gew)dw=!n*V$r0^V|S`3gW!^0Kl2R9f_v9i3W3%>ytq4FNr)cqUsvR z)HNIV@9rc209OLwMxR`NjSg@C9r*fGes;sOt7F!yTsR=^mu`9mi=8X+QE1U#H_;Y<(yscgzMcnvLV#)2%s)2LhPn?aeU=RY)PYJ&iPHr7l%~ z2Q<u7#f&t=y9_zJ2V>AG~k&0+SItqSSds1g7ngCVftsx&b6uqekAY{-2 z=NwR3afYPGVL;eU4FCy@^G@1*X_&z{=8T#E#AR*V;EHxj4W)WhMnTSc(w*hA{5|Q2 zOnGD$IR=IUB%W8dwJ#tN7jUFgyF6fb6ab369jAjz#~H!oP>iD^98%;k+D0e=0ahwc zUi64bW-Po8p46>{(YVH5&TpDu5eS14_ZzO6ZmF_I0tuL)C0B?$5B86C>##-jDBLEel(~yrgM`> zI0UfffD;>XXc!qMiWFpV)Y1=_ux``<*7fU1yz&MOIYG$BN^u+#J5U5!+%eFDP8<+> z(f|%~*EH;6Op(%nBXU@P!2XaXaKW6vY4Aso}bT#_@BO&h!Rpaz`d6xLjfihohfN66zmPy|c|bDE8^ zJ?dt`$Q20`0Sg@UsGA0*am_?VC>ay=sGg>Jh3EnaD!bK*DhH$mcc^6PQ$0f`N&txT zsWkEX$NE%#s(n0u@&1$yv^sR8IrOLY>rFpe0AUo;DncowPzRs*^3%xH1fXGOK>q-b zLs9s4Upmg_)w(i~`PV`50@uycBDe$Qh46pfKmB^-Gzq+`^;7p>gH4F*JP$VgKH}NB z!ucOV{{Yvmw~rOfczyoI;$s2gJCIa;Pb2je*1Ga(0izY`Ui?0e!P5_>Y6O$&k}F{U z0N-3zAxu@RBLi5MPG{L3rEXPo{q;Fh`HGH3DoA05Q-VF{1g=6Cn#|XxNMyEYzV2I} z!nO7vO2+Xuui6&WZrg@#zlB3BHnuhNFA-QlBxBBC0qRtYewEu^_@_&_hBaHrS+UWN z_}821J{c3J0^@7{0JOYTh3|y#_5iI5`>DaMZbAE6rOiOb_j0^NSsa6uAdZ5o6~#$w zWhJe$!zlUEq}bowZ~NxDVyuX5y;)xzQtkf$Jk_0z{{TGO{`sTJZ~5lm_sOdfTV)zy0!P0;?eQsM!a#TWFvAkNFynqJQo`Q@Wmxkws4Ax)0i@Nt;@f6#!q+)b8 zUKCefGT?^K+>s7I{{RtK5+&Y^dI}PfA;=@65Adu04)J})g*roa4c(DAF*iGX*FVa- z-w-a9so5L-IYU~o`NH#q>^mC9K`Kq^j0=AfCE9J2h~*uJM*4gERV31$`%-rZslV>8 zM*8Bjth9u^ca%#irbvtB{Y`XQR2Qi!g79Y_c13UGde(ME(3Fz1WWU*~g-eL`I)4)I zfBN-Gdz+mmTqf38I+p2==xd@~MWczVuaYNr;bmpP_Brf*dm7}myN@hO9P8BM@~qrl z^g1D3$4P2e)U`u#ZpujB;EZOui8pTNsN%Guhd7Y+ZbfFyNR_kMjdej- z^oaie-XG4rO74BPPtAE{uQw6@0K7k)dRC>y+f|s4H%*SYpk25;EmQ=K)V^oE09ulH zTjmMHNA`wp)WdY)Ht~vw%*Q14rUij=`Szuf?bLMQpjKYFrxx5e98dyDc=4wEap_P) ztTXhEy59@H%9JNA8!Qo6al5;3kFXF zS?WSoPq*GY18aTNLC$#UTlB6nO)RCP_h}@L6f70~etF;;^j{RqyWv)c5B%`dZV|n5 zGJlb-HaGtOiAJRt@lMgIuc_Ui=|Te=L}hJKFC@-e_?LDvG19w>op#-xK)S@Qg<<8( zCg|7{Ib3A={VMj0@VCSkx^$ZD+!pXbXohfw^75z|#sK3!^_l&XrdY=>m!`;Kk}{0R zbV2!t2bHD=oyYTAN;+YczYdkT;(rUai>BILHlovuruk8!WaAmgB=RerM{usbqZOyA z+g@5(K-U+mG*TQYETy*KdUoqbqOF9F73vkbYh(9JqdzF`)0%dpCi|9TxqH0h_spag zKZ(sVPgj#rfg`sUGBbd&o{W7e*1PA8Mn$`~g4$KUF~Yb4n2H&rG~Cge1SvVG7X%89 zp1ji#<^vTOIN(#TNu=X-04St1QW`)eX+fl*Py*AC^Gu~v%>Yad4NavcfC}9MNZ+h%8iaX`qxe2-x%EK8cpV%U>O$f z6ASHsynT9c-hr9AG&=LzT+62mwzjuIBxqHzibp%K#x%WUSXBQT?LFiG5`rMzNOyOL zbaxBV-7PSHh=_Cu30`Ya5}LyGI& za@Rdcjv4PCY^~%h%Ci1ntv4eNW9Cq!teJEA{{uxbqZs&xv%fj=SZ%*q`<0PGm%IlW zKQsn^tOv-1RvOdRQyY&qv6Gp{j(J?9yH?oNmxrcT4bw);_ge&kQn1~ZD{14=`PwiHf z^N4TE=6|y+ZzJ3!wR-blAO+=HOeb^u3>W+*F166@nk_wv*l{mjQNEHg{-N2{(A1_> zUN$%Tmb=4LJ>=|QLE>>%RP{BU^*HyU9Lh2gbTpMlfM-gTDhn5={%!w7uf8p7 z&DfYD|MOr*)zoL^0f9t1m=r{KlQ2UuyTAWZE4|Ooh5dpxZHa8_7$sRpF5i*YB)HhQ z0;faqQjfRIXLm7Q&C^VBYb5_?JU72oTQ{wt#U|Ct!A|BThjEX}%UJBqQW7vNy@0cW z#N+b9`cQbwxK5m1EuE}2ctucRVlG(6Qp+5nYR7$utV6;YYq26yirsj1C&5**AFbo8 z2%+KsKt2%aK$Y~0vQyjo)?oxb?Yuh;{?$hM555r}_Nf)}%C(`S?Uris=`_ub1mk38 z9czf+g{KHWax;*;vRe%!gU;3ZRJ?2MUeM6|5=RWV$H7 zh~2gl#7n9hZk7u=*g&^WvLS1=t{l;oP}%XhP!2^mn`q(f7VW6M)L_2&vtq7FF1Ji# z&$^hLqfm%)v1>d-^!M9e;arI2x$&qci4y;ha^H-83^pz8u$Hn>d|sbG7jlJ=?Y~g? z>*JB!C3=r!7IWlXWc0vLlX&{^>OF3SFrMJR-RW!0tyH<=D_M1@b|mPU>_6IcjwPtY z#dTL)*X;Lgq(*HM0zW)^9!r{UL?K1@pELdW4}`lP@@7ULmdhynYB7{Z&wz9pEIFI& zwQYXLb0FH5CR7&N{M(_Sf3?>NUR9A~PO#5wT;B#IYD{B2vi`^`S)Zv-dKnBZ_^h8= zxVNaEck?XT*Lcj<@b76G1hioCY`^Y`?R?4{1-U-C5x^JXwxd|Nz{zoF{4zTc7py{x zF*#!Q=Epvznu`drGT;3j#T7z5m4b^cI>UR4;3GY3>klp#aPjMFI1eR`ENb-ErcM6a zM6)|s66rZ~Vq!@}A?09Fg>^l^3BQ}MNDbJemFHj}f{8`}^ZlZuBLT_1LVU<%cGHtR za>H+LKbJWV(|)NXn&`1nqkmGyD|5RuoE5UB4%|z;*M|QV?&oC1m*PGRNC;lRur3It zJUp~!rifqo2mVDz|8HfgirgSB2nl)VhWxUc>x{&E@WRsq`n)T~Z+x7;@Thwh>uH@UMRCy?WL z8&g#+Mj1xw38Eg0f+yl(_4=~n*vau`3?}am*mk{`&c+M3+RA=C3Qbgel{9LOE-mvU zRb2GzV$&`?|G~RRa-u}?W^)<~S7B52jbhT~cv^Od-MS`Pm65!$2*ZPp3e0&>nF~fS zL$FfmsX~1sp|JDTw7L6;4}ro_R$|y-3UA6^d#i$(uPyaeresR-H+K#D@Jf|D&WEFe z+%Jlu6KI9ara{|X6qiFq#=5Ly1)1V!tmw&Z%OmlpZxFOCwDMOL5=F>!sf^F+v`K6= z@wDUD6~r3pl$LKlkT4OgMlx`Ul3|iP)~aDEkix~#f+_<|X}97W!rr{eX708H7tjg^ zD%k3y3IQp3>$*IY`#GFP$A{`(7pF^5^i>jXek3XrUo;UBG?Qrg$KE(*FsfG*RbeQ; z$RL>CBHTZpR@M~-Ib7kkWdfxU86>WgCU&mXu-@FqZZ~l$jWv4S5Lk|#SmUP91M$r= zq$07HmS4UA(EF$a6kao0w5VT{m%REIBJih4U|`Ocpzz-Wi-{bNPa?|&8O>rw5pGhk@Log{tSrEf{#UfCkK@?u+1kbz{@2DF?m zYa{hLsc=_b=ocmt7}(15bfCI0j=XXz=S|cA*j>V*0?FB`90mUtJFY+3cZR;@f~7~K zhYGCB0D_m2fn>ieYVdy(rnx#+mb3=>5UQ&8YBM8|({NF9m6LyyK(Ot8q5>q-p1qaI zpg;m7E2W7OiG6JA3wSgI_P-*euH*fw#IaR{KyLJ9FU95EXplwEg+J_922-}foo2^1 z`I}{6IVcvk^7p1-7qs)MPLkJSK-oF{JmZSCW2c9Fo zf%j_InEjIoMlhNZa?TQ5cq5d|QyzyWFiSgUF2N(qCm)$2P)GMd!O??>ehS({DXNKL zqg^IdfHTdH4HWSEYWrEYFjUvj8Q0)IoiRLFRV(SirR-F^xx(b4fxz$MmdwaZMdpiz z0&i?X=^VfI8Gh1if?-YR;^|uxp#XEr)#D}bmNQdazvXBgV zxp5O|fSFcwc_CyV1)_0iP{a_`M|?|sQMAb1+0>b8C|tBem4c+Nm|Rn?m&7fG)w1%S zXA=J>JZ8ms)EtP=h9O%=9Y4e=9DbDl3d<6DANz6SSNq}clYzsHgzE-3cbQ-1#HAWi z$b$y^f}`f;b`wLq74)j$C#i+fyjvO_80SddX@tXkY{EAFB_RH#-O2Ef!{fJ+@rm1& zuf=LUUhC67()_Y=qtMNCZ-|NhaNyQ$`E^ZG2cs2UW@jl~T>ZfQn^M@wi_cyNR)8J) zDj_fx3^5dTb+|HP1ty&^1tg&g5I=#6zkgHUr|Fr*e`nfIruI>5{sd+ z$^8kr{~{&{)$DfFUx$Z?nq_rs9EPtMdez|$#mlbm5K;qfZgj`fpQN}CwxD|_;t>3> z?2Tdlds!C{*P+p(K_ox8>!(Qi!+pN~{TVzG(ScLmeVpuh&8b*d23Q~*r%mdURP0x% z=r7qWyZ5|IP?#P&f7#f#a6~3e;qVW?HSb80T<6(0&Lb)l;LeT+wVKf0fRe?|md8}E zA)TsPNbL8O@Y+{Z^mF%pn5D0Ok5K(UFl!R|XEr0QdMb|uEDUOy3Srtoum&uiS}n3(giUmbYPd8wBnJbtZ*?Jh5!KT%r zpN^-A3PYkqMa4Rt-7a}Z@`|9pziE?Xz_B~$IjB>|>@@{+=LGL`8xW@hU#dAqRgR|S z_~(Bg6Uzj-*3Mq^cFsKb?Uo^;R}v1L)8>1f!^Ke%L#L{%e41{BX(IV(d8~l=gVvf! zqNL+Ybjs~?G5sx6ZckLV;lwMB$7uUYXMQIX>?Y8Wn>RKXH`>VKw&&4< zH$v3yA5OQ*->UmMovwwG3hj@_G<9fMI;U@ftQF;eagx*cO3jzp)o)NWQyeojGRU_{ zQ>NvYzZua0W1pu2yv1i~xO0Jcq}(2v!`O1WY|n);uBxVeP&Qm-9=gHlN1XzT;P&nk@sCz zjnanRW9NZhv#V(E!7+NJ`-5QqM~6SHz3H)kSx_5fk>&-u1jfHkS_9Cl_LcBfXwdpY zDEJbF>xtCf@E?erI^{ETW`emK}ox6nC?N-Hi$|Rjr zSB0&rb+``^A*TDU-qMfSc9|E$z={?^M&T^ePXZd z>6Ma?MoS-mhxk%Z1t0idiy{5ns(qv|ce=k-oeVb-Uivam4+V9F?|gn0FSrn1i|tKB zs6K64mqca4u#wHN)6(?XBu|B&3Y{fn^rjIH=Z~mjXMjCE6Db_T0x}K^gIWlYZ=kY@<$-9n^zp-^TnmrBpSwMMst%onGlTccgxb@g9E{52IAGt&A>b zprvn2f!{V!7dm~Nt=FFyXv{W^vJ$gYTV@6@$k+KsJTwE!Kj~-6T=QCDB-%0~11JaP zer(G(!eW7P{ zQ2XEjVdy~9j8AU*QjZBLPBVu7pByb9Fvp!3BCy~PrO(99^UPKw>RQoofhcp*HlfS4 zqVP;(TB-manp!_vx1%aBRKI=9tr?XVFSlnHKL5?ve5_5V=?NzMo1vm8-94`C$@@*@ za9`2Jp=GW!;@`}P05y|h%iCJsq_HVy5~{*l#{FBt2fK2!vnyXuvzi0qB;#Jqr=hi_ zqK$-DGyMUB#%7xw?QpvjZL>dBoOziDh@fdB1X|}~63i+BnXKGRdoCnO7td8o*nPH@KAlGuUbpHTpXokOXpan$WTc5uTm6$&8*<+HJ>J=}Sb_J% z6rJ-kAm>1^mk|=we2Nc>2DKHfZ!bAerNs0_nuLlHI7y&yBNh7Hfawa2bU`9rdn_0Y zNp!yu1O#H&g>47Nzy5jbf$wI0QVo-O69QRWrC5Wsdz-Q882}7QJq<-IE9K#La4|x) z5fDuZCBY@`OD+`)q+gLxYodLyW&Z1R$H^o8R&IeH^`a}^B9DVc{HhyaKuqFFJNRIm z^+Ks%MjQkQ0oTlSK6Ec6=cDk08u)~?8eoB=CIY7P2+Gx;uNp*wpS1!{^U-Qf&z=y8WtWPoC;mtevl9) z@r$B--~=+%bpsA3gspGZ6X42$IQ_6ESVXZT_CUM7Eb8{CRBFOuGn&Xq7tkxHMs3xbHQ9&}8Jq(S|`_DEgK z?}yjE(%grB>SN7iRx%A{fqkW*b_AIw<#XRVgek>V1st)gr*0Nq|JE+@F1vLmx*k{#Bb1sb=vtB)5ESu() z9S$^&ZzC))z{#tj7(+}R`_qJi6Zy=2obJ2S-c$HZZ*p3tF(wkq~0ERm71n^nS0~mgx7GL+GhBeEpdDD~-sZWa!*v zaYQ5dP$TpsF9eS#NjNjoV{HIf=i$Eef%Kug;`*gDwq-sjkK|A>fSzyzUPRax z03fp{9nuGs4Zw$hjP_9aRZp-3d^L@RA{y%iz%(%-r}q$Uw0=yyca&DpYBbf&=+VKr z-$Uk=bafOeHqX5-idQ8=|IkD923NQ^Gc5Xh6Cu(YU3FId!baq<*Sxy|ANBf-T$(3-y0cpA8_D7-ij^B+yJv%exO<9PovZc^P`)p)7;h#ie( zLD@DfE4(6TRwK@IqMWb2UsGp5rBPgx(3mR92f^H_FtvPjw@d92_n>OO@2puH(;>A$ zv~cHpv4ctfHcLv=s3Cfzl1kV|?~#gN)&A!Plm;NIGIyM;&^i&QN*$XWDRnote~X%F zJX+q>%^UFIlz41qeZD?4)oq~*DEF}c=a*GO74fiJABfRf|I*_Wdhmy5xbT(Nv8i($ zcAUaV*!ajED=ouHY{^6x(IT_)_w`>en*}<~&9M-31M&dt=E& z=$)*@DSS&9_K0e5as5k9ImKQG?^1#<|LVQ!|7%ox8_rtzT7E z?tE0^ec$h-qT2rGlOiO8#a`O1*AnL;O-9hv?KqmW$u4KFlEZLr82I(ik}N~)6J0@A z#zt{FA=@<;-YvjG zv`vi{9#CiPjncwkVpmtXmQX4Ys=X%F@gaALo`|WsLFkfm97o2y^4bqhqQTMGC(W zy=S*x_hearW`!l#X4T-@BM&7;?(0TSVk#QQEH_7KOy69^hkh|U-U?9fmnvm6SDB}1V@pAbq+g5nM*|yn# zlXtATcsrf>NzKLaC)u!?hYJMw+W!8x5;Thf>j#J6(8ZKevmGnWRWi@|q@ylhoN{fvGZQM)0e%GT&RHcaX_b~W$I``&@nPKdA zG)s*7HO;;%+?x;sIQxc8r$0}i%thv-C&q#(_wtDtnUTb_aqH?P=R)5R#!sc_Sno?? zSByxnzTkJ5-^oGhMBMJupY9vGNcVUKj5nPMCXMG`@JBXYXj*HUG_HL;N(r+lP{K)& zPP1o_BK+Wp>X3Sf+VrVU2EOW64#!hLVmz(9B6Vm9wb%1#(8xlGiOc()$;4x8o*GxI z&!IA?A$3TWJv|Si|<7TmLK(s1e^|?1S2t{ z<#sSbw7xW9qhz<^=+&^gX)1|zy|P2>vYZa^W7h`}xxKOZUL!-jV#_3XFF-18ThNK+ zn$QQiIUczboD%e!hQ`FrfF`r-=JF#Fx})|#SmS?L%)-!#HwL9I%l`+u7=cFWb3D;t zxyt+JE>nT{L5s|k%)oQe%`@)qgW5QC?DijMG9v?62K@x#rwiRld)POw_Ti|AGFbpKU=cHQY7?ga4ZIc@l1|dt?VxcrNu} zC01BgiRp5kx}=<-%+r^vcCf}8Dh|N~MVag0OL5d;Ut)eVdjkN#eEhy==tZrsZ%P&D z3h|nm@lW#vP;95q+iV`IiOb-G8!wtX7u9k-NYwPSxht%oNI1oOHu)j;1*cbyBCmuS zpmB1FPKRyj$SWA@eVPh=Cl2hycKDwXSiYQUB2PiZCgecxK-$q@evqEi!n?#CHQIzt z`*$_clxRi*=9+a)_4bHf8`RQvzU9Da7sOfLb$WZ3wnQw4{ z&t7xrsC{SpDM4mw;^1#dp7NMwKvbmkZuI37YsKk2Y^O+{#C)_RbxZAQoWn$OwEvc> z4TTVW1uLkmMmVtte*i2X0c%qZzp_xV>_>)6wk@}DI>0xph&O6Ef29c5tkrXq z4%Nr$y$$h@)bGFQ1L<>S3PzouYLZp+4G%qbhh6muSv3%Q9tfA|lSkfNttVZU~P z|Km`4-1=MBjtcG6)j$MmXZJx#O{DScG*Z!jk0Dhe%&B zYSduKF*ozsQDt|gG8F!$U9{!o*hOPgh6(P(gY0SwOAwZb5JCp2-c`nj%DEK95q0xB3!V>T}p3y z@MK2X`-ddYBdDRRZf}_f4ho|7(i;w6`abwDb)>oU9rYPF`mKG$pvhZ6Pw>Vmm%)m# z-|LChA7)*AvC;+E{|!vguI{kXlb!Dn%%&cYMc z$0)M_CD}#Kpi3LTL4ZFEkQ63i?xf_7=>k<}8KyIQ`+Y)S4AoU@73QA3J`T{~$cwIP zH*ta_inf%xHWGvoU#3EdUGdr}z1KJ9VZT!7Az>fjwDD3p!K6?Q`0|k=po6cr;i2+hI+|*$@}-A6o5#(x^w@>K`kie z)vJ;NQ37$T4#E3`6EtAxN9F@QRhT?~2AEa!7Vd-k=$$XOoX@jAF@?_Dt)Qc76}DxY z;Y(3MwQ#864DhV-^~D)^!Q~)!XMNtXl|UUD%uBmub+Zb85{VK=*mibhyaNv>M+JY8 zI}obRbiOZ*p?;#+&r;@FWg$8}5|nHj2SmJu9nWrZV6p%}!V()|!+L0D!S-%c|oe3kj6SJ9-wLQ~|46!|V04*r*}Pw=j!nkRQ~C+JJ`~C+o8jr?i=&`-Qp(Ob(c-Y&9!j0_b5%%q$Bw8y$Z8jf82Ch10Iw@7vJU*nF>yGk1r zp0TJ4G-9GH0-k$~A2X?WXM2odi@Lq`W8yM4>Vw1_AYMgkrH=47o5M1V;CR>BnMAdL z0uXm{oSc5sZoLV;fUyq(dfnMVK zB$#Cc80sHBy5KnfIyk6#+r401FQl8OGLl3ouG31*bO`_7{r zXC0H;^)N4C=tY^rLp6BihPPMDDYr5$@+mgT<6$-)f4||Tp-ROLi&>u)vHTqDl+bW) zw$5Ob?_L;SdmpP>a{z6h@O7~J0E!7J!Gkz%MFcR2{$0?!Rl`GF5`Up-2Ufn>KfMG` zEh1|=s7%^Ky@6>p=e{TUn&ZI}9ev|@YI>R{dAciy#wO{&p_W5cMB{gbkw)ZpRFCD^ zYIX9zdM2~)Fm6kI5!Gt!iD1xN6e8Pd>o0oPC1O#_zvJ zZks&TV@ft6OW|#Wr@ob+BbpeeI?1;ZFzpGOZ|9*X%=zR)v>}$Or!!Q3_W-T4aJCY_ zORJhdGx~-w&0jmr|Nr+NhqlxLp2>J?N-yUx-n0=?hgJ{qyJnT&JGa;sp7}JkO?9OX zbq?6-&Z!YafEF~VhHFG1fg+*Ar?o)zPX5yyos#sv*8RBS*M~I<0 ziV0^tRaW)TX1&@8eVt2}>cUAX6yeg2@RbBjlnaPumi|&RJ389HJ)~Z>wfd@cEs*9U zm0YaU&PVE5Kn=*v@Y)%V_$zGwF13x&SD<=u?k2_&DKr--l@~tG5Mv$h0YpRN{faWn z#yh*>p=ud3BO09LB7J%(Ah*FV?t$@k=|1}PRP&_$-r=TsU#7t?hX)SM9k`CIv# zy0`$`=1#lSSl^SN8)_kei97v?wL=x_{P;Rq-Zy*Vg%z$UYp=-lb*E0=9u>aT5KdW% zYZ~)_8nE;aa7~;;5B~!-I-96{_H9H%RL7)6@UTno`g&zu=1eue2_K;3Gjq$xhu8@| zb9y&D3XV5*@mU1*?h<{-LS)vZ@wj~(zwex_M0;-9DRWG`_hSPBL~m<0w_|IRsPdte zHdP;cjooUQU9Go{Z#SVi+HCK-Sw3^DJ@Y3}DQwu|t4A}#(BM9VW7jl0VK{}i#TXmu z_DFK*VKOjO!ZUf6Q0?8tP-5}_*P0kBF@LO!p9&>6Yy8?-*ZB{7E@Y^{;r6Www?a_s z#h24+)0YeR*n2(txU60AdGRL-Ea>X$2IShk_T=8cO*}^#-CL9$h>0dWs&wh;T-5t% z`L|d4b&m+;O->-NO=y?F3Vs#;SR<~@^a<7WYcvRtZ-Ry@_5~{;7$~AcDQCGC71}>E zpK3FTO(5s}c3GBi=vOrH1sCv~X^gUj!uFVvG<2dJ;A9T4SAo`--RwCwKZRHFEc`Z6 z{V3)HT1iguA4^dl=2Gw+V~+i6xS5AD@o3`%5uvV4F_3_7z?!S?-cn*e6I|e}ABYxF zni=cO7>MMEcy=6kqF*F_#(o1?X9BG3VOjF^3<<_Y{m z$X-e$B7-owp=gXq(ODa6o_yzQOgL;K6boY`sX_f3?fF+PCSmRS!6fWr`f_fTd84xvTcPQtHy3RI)aDmX$)(1YD(|ke zdT3*RgmiiaH1t%Qv*@e5RW_M*tBq0dsZGFB=XPpP`l#AE zeyrxi+fL6nn(gb-lN>jW;@AtNhFZ1^!K%Eq1hH_*N9Gw$XIM@2r~>!r*gm1)oqn)X zY(TySJ@s`u{hpL@BE|RQV*;_{{G+nT0q znVV0JfP}nccl+tkmqWHE(K$)jhfBo$utl$5LG{wGrF`1a&(l;ih3gVc;;X$(jvIE` z8+I+vQODr-!!DA0OG7l4AQ7U1-;NNgs6_?TkKQ$qGMl09P2_kYxmX>)+XPLmD48)Q zl^!K_Xq^K(4Ce*>xN9Ax=W+~w@JTIJ4fWoDt=q~yATXz2T)~9#1vc%4@%bStz?AQPw^c> zaI=ZtZQy#ULO@Y0q$X3Zh#9!9I{ns?t^8 z`xu_-YXo;o>4lUsx}h)1HzvuE4*>?t18k>yD=Ar#@Z!`veL`%8)K}PV#jjq;5+Jdv zOW?>dRFeNo#ukf~5w`SW*==6eqfFrr*3!snzOIq78eEN1-X)!(ifEui{ z$TslKLeT2*PrIL@0}3g= zJvNUUOOx1%C$Hu+-CFJJOJjyLokvrI0WmIwxblHmt}^b5gM^?hjSWIJVu}gm@KN$@ zi6WVpjj(VcThdL!IO$Kd1)$i4elL}z04*r!lL-G?(%l?ocN@?N1yn6xP4eFpTp{kJU74L9yv7jp|%?jdl>@&{g zQjo~6iwtMV0AW*@^lP%O13Eg*eKNGbyNqLyaH%t-w{<8@enWk}`}#kSZMLnR@T!z( z#*sqn4#dX>x{^--!qMGH;qFLb|P4#&U!JC7RK;hvN+dEW*%fzfUu*iFxs z+{IX3&Y)Gj4oPkcy+pYyJN0d@5a9Y->J0Zi>9g5iJUH;cvl*$xR3me34o@;A>f-4I*5)UFxFPCJcG71J^(BL42OP8^ zHOuZEN21Uz_n8$ras%V*`mshE#-A7Hk^80%+;|miA($v`R7u>=Y6i7u@~LoIFZNr$ z_{l9zvMBY1e_>vQv;H_+wEdPo(A>lXS!?IEp zHIQ&BUR^F#Lgit`HrTOL>K;&T%w6j!fDicaq9$gfeBJNCS8ByeS(Lx$(-L1!eR9;J zZZ2!xSN)tbiCIWDqLe%bOVY?B8g!K8Y^(d=RwKPsJuhypfAh<8-Z#NicyU^uqG*p# zdc>>oWW=(zFog=EoCUJ^7sx+F&l8DevJlk`%iMVJ7J;mq@0z*-F>=%@CdM!2;?)9K ziSk7rmtNU;bJhHpKMD#XV=FNrgPxxb;?0zT=2`w(HTK#-+DJlDYs<|>Y}`5sas9k< zlvPP3<~azYGz7@65r$uH8z607hWZAz=F+w)?4L~>j~^h{hpxTexj1i3al%XZW|ul! z4MkI?x(r6HI4-@W346_Xsg1vhL935_#EMV!#pRLcg+Hn}Da?h^rk#>)RY=Vb0sVo` zyt1T~Y3#tmz0|7IScD)0^&#;ATjM$HT2bzQphBMbC*P(hsX4A01D{HTm+a1Kgwz$; zdtT*GR$gxZQh6#?#vf1%nK*kk9p}PS*ar)pJn<=f#cyD!MW90WbI6G7+_su#M19-0 z5eL3olGnou8?5l}$K@4mW&+3MJpxe)gGPfKva_sB+L^!8~u72Zg3}H$UhS2FD(lan)5b{ z4z8Ko=E{r7;*UZnr^?6mFkQ+0Y|r^GY0+|8ZX2l*q~^Ufm)0 zHH8w9IBsRq@^^M#rU59teSOOgYpj0&^9)8oWfdBDu zNLp|N^h0tp0+@n{6b)0atEzRvd%)s}%N|hBZvHmz3uN&M(8=hni0S1hW-prf=tEcC z1W22~g}f5NB}e28@{(>RRB;k47*X$g?MSJ)d?a&}L6g&MT7LG8n$DVON$g3Rm0%j& z9%xtcj^*l|K&4yWN3}xJ10@W>s@H|uD?=z@Yei+@QsyTUyj!JYQQNT_+vwXX;kZ)2 zB!#V$)qn2uJr-h(9DSa}<9I}Wl2c7yE+~B;^M^(9HW&6vYr#ysTIMwlec@ThEXEs* zpaBr$afBa&m*r&r*Spw!1FbrtdhYM0E!5muC-iG`q3lCMy#{zlX8rstfFdI{xx7t> z->Jc15l-pQ&;X77_tV6%f|0pXjCtiNO7nxR3rBZ-9I=CVYEVg|bZ`;!_`+$>QuNL}E#sB{4`g+y-V%N{_%m6K2g;QquX}>B zAM-eYnlwPLK>TRx#`apg05vOcCvVDo>6iRow{u1Ui-lNLpcY!{_Nb1En+yBoQv9lD zOvJ;37HMnahoL6@nR8ZmF*}$C$7X^iPSk0vvxT;M8kFmD2-<--Rfd})|;9? zr`359CWn$!dgyZ2zsh`Et26DmmHI~X#$;Uh=O@C7HyPxlOV>rcc(ISvcDD`Z_Y-J6 zjwS5+S@Z11;D92CG1rSRgtW*0k12Owk zA`!c&SS?WYNB*%yb8B}(lb!SF_Qz3829PhFJ2+q(4XX3qJgwYSQ+n^sb~owv+tJTB z7;z=&*F=z-59Z+J?}&d({WucTU{G&_{&Q7yPKdXnp?d;o(Br zR&%0wN1>B9c`7-T@I&PbU(g6u&rd3t4_u+ZkxzwR({P!uXEdrh$>4B+5D6fo>dp~l znhe5?1PLv=j>k0|XTHdGCM%`sK2mgA#as%TmL&e2GPfs-t&c75>r1IB7wG{1D!1y$X2y+4abx;mWAyrkD4aoLg$YNLTr{Ge5d;*143DKD(Zox zvGI(7&QaEk!~d~oR6l8adkzei!U1s>3>v_ko+YwCQ1LK*UJ$Q=bCi7rSBmcf^T(-0b3ArOqU`MVl{| zIb(TLcB`0Or$&ph7hP-n3F1Fykrj0w$bJGtHi!DY8p)4_;|&gE56gh9*oRskxw(I4 zwsU5`;W5}IWBDb$fOx=)`UoM=xvp(@KeIeFCltF3E-MNtW2y9Ui?h{uJZPSu)swWF zWcPhINv19?|G`pLI4QaC9PhI0#?t6@y!nErPX}pOg45YwUKbNA zcPMh!E%~Rmq>n&!KBj_uDzVzgv->(%*MQA#enpQuJpKO6*MI2+m1RRtJSGGzuyEJ< z?ql20a%N4VrrE(l3$ovYaDtJPk06V7SM%kfK!PBVD9FhN~sB!v0@CpM=) zgMr^X*5Da`aOOhDTrpxO%Jn8vNri-OS+Q-jgM?V!x6p9je zg{-XrdJ3@&8hzr_e;Ii%V&CQznXI z9?_(y@Y+|S4w|Zzl5)N38#9cy;MDNwBM%t9f@R60P}NcVP7*;6#cRtCn@W>vvUEU6 zBxNdwBV$_uvaxSig7HOg7S?U$vzhd*P0$n%LyVw3(iUjx{j@A-i|gan=J1KmaGX7f zHG5uy2+YdBUYzVTx5&qgd{d(Rsg!L)7jdc?P}25V+)VRc+20K)roPDO``4o?elWB} zk{B|g<}GXQLQKJ@e2d*^cQGBxAsDJ2+E}1(zPn5}g=U`TF%u3HQ_34a)Kh?wp@yCS z)}cvc+|kMo-VTxoa1AId#Qc=yrHqN3{uf1J4{4o1{bYstg*F?9?t)L=lh+>fX^6Z; zoFdChTMBdd?DLFUlLc2Ga1JRHNQ7Bq<0+HY_~t0fIV;p%!O&}Vvo51;J@a*A6C_%6 zu)>95=#{Jig;P0cUu3TGktO)O;X=yx!0>G+gGo6d&Hx>G{u6{G4etRboU@jR(x#gFmt*n6DN;e!8dnn*mk-cPL<-!2I@4Vg(U+L`z7MJO;B03QgDhoKo5y`2Ic^Gc*id_qaZO zm`3?JVRupSC%Fe(>$B6gkRR&a-PE6RGJc4pgSR#K2`6;alW~&=D{TYnl|mQDUkdX zp^IoYF>Dr`!r@)>u6Zgc>q7ev_7^!{ji7qe#r(a{6O(Mj1V7HkCb}-nFMj?o&&NiJswkAROGH7ZcqnCR*1#Pw7iL%O)Zk2l4A|KvRrqo8L) zpu|f3k1jpZzb7Pa9wxp&B1<>KXKX>`iPChha#PFH^rsxK2$wEOnN|l@``Pjm(N`U7 zdqoQQ5^JX1$}8sdFP^GzI~@N?I6fr?>RG);AhQmNREVyJylC9UUslX%T^f*jymV;X zX5LRk3Q}JK?7@~pUXQW}d{F2RO3>YCurw*xB{|E9Y zF8m2FV{P70dD%o_}WAB z%dNZ@WJrJLc2uG8xU-I`2W}};gI-_4CGF)N=oY4XfdXf-7#M#7%I>|LS05}QiMI-n zS1B%X%2nB~rw8lINB#pcrfM+$$1VG37@3FKpJ%#+>a~Oc(anz%Afaw>gbX0 zpH?3R06JKb_B&|8IzKzolMLCB=sjeeYYPlJ`_q{Wwdq))n5q6&pn2LYoPrcEB^UlM z+`1@J>+gQ-WY`|T`Z)U~GN>tP?m&%ISs9v(NIC{{^l3yUo1u1_$%?o_H9 zFWIURckPGu&=q%&iAS=c1KbsCOTN}nVhOO7vFOioHxGD^lbh`%s!P8@N{9a*Iy!h) zcY8;Ot==F$#kb;z-i$T`&-szh^*+RnN73A()suB}%&}h~`hqEfHqnp>7s>STi-FHo z3o-oo_g|@1bDCV!H!tHv8H}f$(>@qV2VU1OG=2*$Ibb@hNG9f2Ud zftBtSkeg41Voxd(#!UZtBOM7<4*7#d4#>DkcBNS0Ruq;wTTNZv_r-!jtBd{{p`#-{WbubIeN3yd7v=p;IQcE&3KcYe+| zu5_@1GIjveOP|r!c~Xpj9sKh=`{(PSGCfDP<;of3moqr3O<3%-*LRfxk1p@bV{d)n zwQ+xPI7W1Yu7Z!95whcc3`?v`udRGu1i>;Ow;k1@z|7~>YKkpP8bnl*t7xfpF4=GpBER_`>eV>mbA*4pz;KRoma9``gyP6 zTkmz5o$|DY+T!p6)%m)|s*!y@d{W$)uWy#YU z=mCX+5p4Q`A&O6#zdq2XxM*Hji7=44+yn%P>#?ZFH74%L>U7!e@6ZMq{Q8=N%)iJN z^at3HGqM-F{heRi)QSq*c*)KQOpEZRHugjOTzY=bN~yVt_KrgoeDg0)P6^76Uh{Y) zjd-8I+TH#GLGBDL3)z$?ySj31^L!)w8(Sj3p+o~mo&PS%lSEuK;x5Mm6ldb5(mI!y zYs>d%hO;xrX4ZXzJgr4NA{P_z(;)8gD}E}uLDi(O_~Hk^F(d$t0srTJ3`dh+;G{oh zD)|2!Z+Ry+pbYY%ovDYtSTVV0c>5=9sb!%R!6qtW5hf=&5S+GQKoxmyB+{Ate`h1C zNiQgsgMpdQ)XjJ6TI9Q@l#j~{yt2pp;*SpM#Qo_hIZ^ea%f?4IsoLMaTxz1L_x3}G zwU;aX7L<+`P@LY7yBPJ5e-?1(!H9Z7DKmMxzZxu;u^ybaw`7)vbEj2xyyRg$p-YsJ>8^hN*b#Grde<{aH z9g747ooPtCPjCEwdU||~>1>g5ol7o;suc;5L$v$*&kK^9BmUV-{eA)p7}peuu+L0@8e$nu*;b+1zBv^V7IMSh^Awu{a2b? zzlO#wZh9v{N9j+W)3;dSUt{V)lNn6rpezsdrrAAo5W@&Ut(M;IBo~txO9lGE^>j>> zOf*sobfXWY5O@tg&re3G>g|sKR_W8TN)c3p3mubq-af*qzUdZ!L}1|XmYfTw5Uwx=oNIQFg;t=oOQPj=kFcmXzJJa0?lU0b9Q+6j-QNBKO= zCj05_CcEc0w9gg!&Teq!ev}DGFue=EcEKAgMkw_e{A)W?vDCwZgp%!b17p01Xs%o) z>@eTe^AI`pS163^eUvyF|DoIBZT?32k<>t*AzF$p97`*Q;k5DIAl^lL@G_ryp23Ju zMt9Z=O5>}u1y?5Up(yLc5vdKoVTrnmad;RB2wQT_q5A^qiCRRB+h_{h3{K}=Y2JO$ zEvQiOcqPy{Qho`9NVDGk)1rH9F-RJ%%MYmZ_vzhV&67Wd6bjmSo-`YWCaAj>*UN}9 zN05eh1k4E0beU*Trt~ut5Q&4QRilCE5h6E@)dXc)hGev7hU`!-NDM~0uOMdqnL+gj z?N(+kfaQTmWQ?>#I>Dk`n)1p-yGx2sa&g>}l;!Y}5>$h&hNPk#8Yw@egS0RkZXjQA zzDcIGzuK_2r^S629xhAFL+LJF($jR-FU{~Qf~r8$KUPSVP^Y_@R(FD?vYPX-JxZVJJ=QpgLn`yOh=eL&XQpdkvSo&NeFDH@OA)S;)NfqZ22x^i z0c*)!!ujbC%0b?7e7DTI1GO;X@!9Fu2S>`-?0C2d8VX{U0`DefWC_@D)7|1cG-Or} zDyKtUCEG^Dgm6bC$`6&SIMHeel5gc(t`)eXWzO;hXkoi(HL9kx%&IFtVL8&v$t%|o zFM~}^;@C^PC)ORF&h-Z!Q~+GhY5qF}6>vETII*@Ym!o6vYca7`noqjZ?YKM zU(YcUW1LaysNcKOc5dh6>!iRVK=yERI2gG@A>y$(t!R}-A^!*3CT;21UyArqOrExI@G z)xwx9o~MT?Q@%R4+uBo`ZLO~g+*ffix*4_I=vY z--%cMk>RIHzOHOcjCg}@;#9kp(e+bv66&iIr}TCJs%?n8shTlQ_kHEz!v1r^Rtyg@ zIbsw0puR8My?h_8m$=6fGxaKsf5mM7z;rt3;SC+_EE?E_}21WKu=L4|qSRB9Sv3 ze@qT5+q6q2`aiX_^5Nmzh`SViHgfQ;n!O2>VaH~v-g0U{#-XeeU zxdwEpS~&8DUIuS}|JKizUq$h#)*TD)xV)m2&{nct=qgi?@#Eq8>`tu= zy$j#raM4Os%^;0mffIHO%Z9&!sLd^>E`iwa zwG!p6U$vleBZ0rv(Ms9`PJgeqA=51}%*r#Jn=jgCU9OSe;}&5_603|)8O5mLN~xIs zq9D0Sr3a0o8c&o{eidAi;xJkT7AM_n2~0Xt2Pc&}C{E8g^Hwnn^i;HQaz~?9wiBUT z4oCWsPg9?euMKK{6*R?{NM3e@#@_cEoZtSyEoJC&?y;=3OV>*3Lu;_0rG)au;Y}PJ#?i6rJLJb@S)SQ@oXz4Y# z_3BTk2}LI638WA{;F$gL(t+0?I%4T@z4VC@LONUHro9#)^8~|PJn@q_jcZUh6z}$} zcjf0IubgqgMx7>PeIZ+?En9wo$79Z=rX74rsVy-2J>e>ZmO#&uo0~a*Rb6s$@Az@L zuIsrk%h$65?SCThRMxE)fg)F|j_qim`SG=J?vt|Tb>f`yabjJfDE81(JcbC#hq>>< z%LWo_Lm!}ZZxB!p#Otoi?P85N^S3j3ZS(5C5=ka)I+gqVdcX%ouaE?Rzcw)sGM@fDO52d{7> z*Z=vDRp5O_kT4Q8{$1u>5=>gQ$T#d6rFCQ2kbG8WTOwy;xfL=r3gl^2$E>TCM00Em zWnX_KvVT<;1I-fWx%EsQ&M^~+sxMhKVvQD3@Oe3wVKAyEEiTS-gW{6>c9Nm)mwJ38 zvazf^#3damFN@QsYv$lKYU^;{yhmn@T%eR$7&n3M!=38t{o+)GQrKS*VL@eMhIk^`4*DYfL{!dQ^&#f>zl^Pe^|REj+F+hu48 zQ^7-WsDB2YZJMUs{izKJv}I(F^r$2B%O%7E?-crYKj;2Qu5I=+nz6WR$vEWo`lb$F z4m7-BWE=gMb6)LA9YDJ?GmtJe1ROkwZFe(nc*X@g?QxU4Tc(#3b{wQhgY$Lp@ycuq z+@Du>2s)q1I~=UaafNPxp`Q-duZf$0+0}6c`uDK}4ZF=HeqoBG5ApADnL#N|DzTlf9bbkId7@L4C~N)vhC zxvdI(31Dmdjzc3;YXWYetq7Uvq01)Qlr!$gQwKKgl( zJS`0GNCh|_g}Z=~NEG&bMNlZ7EN5^Z-gyqNOAh*Z01ScBL~cy|f1eG2y0QtBwLp;K z%@KnI!)pGqxhm)qdjEzWx_=E_uST~soPPnp>O)|hfEWIq3kH+gw`A9(Cd3@{c&-0| za@L0-57%e+a#aCqIY{8Ls?CZ%E9Dktygdk4=(+^1OKy+Og#iuxfsUr*umNNr;tpMB zc=W%Xv5?eN|0#*jhnHe{uW!ffaa*c+r^ubjy2)3|kmcJSQ2wD*s?txSb<@f2h=Pq* zVt2BcYnX~LiVy4sG*ZC#c~d|4d@b#rsWLn`Scq2A_ktP4!uy#FGzAQ>OaIC4ldbvc zPXst&E^&X$=6wFKJo<%+X1~?I-^|0o@F6ur51pAE zxv8dh)K~(#lp>&dHau?MET>Q=vTzweu48C4(DwOzg3^ILuv7!D0~i6&-0B=f3p3U1 zT?I07WJI)}clrUu*tthhP8o0$icU9}88qPfFo;{un80p+LyjnTn6uGFfuQ@%w z;=i9Qwy5$OC_W!Joaiwwchats%1itTdUWRwkJxdxE2K=63cn#cO?^NoIFW|&zqIFA zfcQ0TpX-kMu79UcLQf}}O6mk0ScxZUGLODPTJ2w#Q(KFAp$XT|xvtkE;#%e9{<)cH zT{``%Kj?hM5F8tMAO0E=3V8o_v&HXBDG0s7==FFT?!&E049=L(!wsPc@ud|OuPwjQ zRW{2n6#jcd3fj|-4p)mNxGUnX;_QzVY6OEI%uGpKtG-}d783IK1|=YIh>^08a)JCIHdcXZs2`8fBILPM`2TGTb1!i?A)=TeFXpLa>Xatr4%B8-97s>bL6n29 zn(}eJ4XVEXTsmTqB-s<#k!7E z_0$5W)jTHxN5roLVkLDk=%whUXk)LpRDrY>p_Bj zz4aB5$``wZ+xb$*)@0E{d(Yr4a@lE1;A-I<0 zSkVmoZ!R)jHB~($Jx+q%)Ga9EfY5-RvB=DiH+ip3;@xxvtwc88#mHeJwXh1hwfx4J zISdD6E{cXU`l-6dxvgCNazR-0t_9r|Do1jz3#RRy-q8XuIiN~6+{aA-F#=lD=V5IZ zs$zhFBVz<%=5*7FDA6qE@DQ!e*Dmoh*%zWEXX9+*gh#5lbFWIDa0yCiVcy;r#k-Pv zj*A7YNlbV0;Jwqr(s1>Fr)i26|3O5kzmDlkS_+}L3%InG{CESd5GNHFWQr$p8lPbmrL|})@BKEr*v5wa&!8}^iKU}W8_dCl& zj$O2DL3k$SphN0KG@L!M&bVFh_E2T+t$S!qEwQ}m-vMH6y}}UMNy+3Ci8;xVOGnMo z0avcN;Ur}uUHuup)3*MvWGU?zCM;8RI<jlel&ksOVpS6zCTjxsRz73L_G$>fK!3>h|#}&~R%) z{Mm1&Q`&;02;{ZEzPg<4tvg>7S6v!B=&y%g|A^t%d~HT*D@cye2*CeGE_@J`V1XIZ z?9gXTT>rjJeN2&S_t(;sl08{tFv$ds?s@&=X?jBmqdtLQv$VVK!HID;&5X@-T|j{N zBGvYUDs8NWql?9iYV_2nmxJhBxYufinUWOOzl?2W9=D~sq(?miuYGXW#?AT@a|i9^ zGBqcPpSc1omrC?0&BaD4*0xSAuZS)bOZxo@^e^=K4H5;CqAZzyLEY~4i7IXGw1!Cp zOWCO)CiAk}cZOvz`8@j7u)cSk$MpA)s-|jjNMo!H9+(6U?~V*V!kOA#qiGF(9trqS zR|2xu+CL1x@<5IJjmOhO`FNMra&x@Yw`A|V^u5sh?+h|keTc-%cGj2{oOsrL7GK`ohEzI0$;BhF*Qk6-y<>} zZvl5tlBkV($|ahEZRfm&;5tU`*kqm1epaool~!s3`C&QI^DL;9(1Q=cc`gpj^+=>bPdv>+?>!Tl8h9-EircpInZI)6OCN`mvB=?38D6}#k_c8-J z!~O#SUSJkHz8g`K&`|&-Usuc~AHC;ghTeS#)|q!r*OhGiH%5G!IciqG;-caA%3BK& zaz&wm8T@U;`zxF{;`7IOa-sFT?pE@Hb-K~fLttv9vq(kR zra9JM0*_d~qxV?@DHA>e48&f%LjChK|CUVqmP~|*S*LugmGP*~qPLnHTVpN|l4J~S zS2V32YwbrLE^OkwO2$iAcM?8ZB`UAD=Y}W+YYK1wL{q_q%K?QbvwHgBf<~`<$)HK- zgrrv5uSgzx^PuILhk3oSf3C*9-Y2LZaSeub+-~e?MXbD&I0Fv+_p)tz>xzK6BOL?0 z~-=>2%{5q+RWxA92A--KmKv0_)nI%?s}V47Az1@F5W;~(K%e=b2RPP%6>)wtet z^?G<#J$%P>oVHe0JIMwF@um0cF4NDZm_OxdR4inUCs1JNe&!!ofc{X1dG>yWT8%Ax zYc3&bm}pDjs9*h5yL6NA75gXQ{WsU~Iy`3{rZ*$Hc^>Nx3&Nj_w`8UMHFD*1KxS4saY=am5 z)yZ)S*)HQ(_>*JUX{z@0bBOFUOzdGcm}Am%<|f0@m@M^?i6z03=UJ71c&yNgVZ+Zm zibhVd4l^HQNAX2foYJ{cR6P(y_tXArnCBfN=p_N0f8b(Qp&MzNQsL48+&giJcd4zf z{4tk={!mdd6@5&?8W{P%{)ph9dAiE*th@(s7>2x%3r#8o=_K>} z%vA`hECDEkFgZI|&*#6j2?@74!V{OIM^dBz;;u=?KHXoKql2h-%rXT1{$k+N`m3i@ zCb?^7@-xYmVe?NlNd0m_YhYoLJ09W3iBg5969g{gdN^ zH9EXpv*>U{=WyYx^@LlOG?W@tQ)%zIaL|yXNHdleuktx!Ng{3{zh#DGT`}sK`OKZd zdqb~Q9H875-&^X>(XUCqCz0;uDhO!a*?5l@Johf&d=~0dR>yL>BvmR(unm6FY6&d{ z9B!oT9a&HMGlxF3_3MTw9mP8G8@8+cc=gPzeP?Rq%@AVK-&NDHyWGve=xw@L7S7s# zplegKRe(EXPT(A!jvDdtHsQCF)QKxBurL0TgLF`(rKPy9)iSae%|C;fZ@cGsvFXIy z{>uEkn(;5}maMq==&pPr5L@(KQH{I0M}%0KBK~%6Gy>j&bUR6d*Ol>22Rd9EZo2Ljwg`~uvj^>+jmM=HgT12KD|kvz zza<47C&33gu0=Bm;8~~b%I(J#$D$V#z7`WcJLSs#O{ox<$Fp3HJnV1UBG*z!$OOzCoYx&PLZ3z?4VTkMmnW|3}5=9IdW zZm{&@YZO1_b&ncX`D1EVYcAKzo%EIwLdT~}*ckNb5Fhygk(8z2rX6m>XB z@1_~0|4n3li?T@jom{$>v^}1Y|0Gj?uBL!YeG?I$0_2>!r}32)-gG0c)KND&#u40k z`brE{f+eY!5*_ebWWVtJOy~9nt$xhyuizn-+RT%qG#Epnt+5i!*vuY3^?vp-wf;=;TEyTA(r)5X*R6SW4-0Z}l4$R(>8}pqNb% z|A?G3M_SGPzd_M?$h3Y=se-OEd08_KD9)_38y5_88Ny6EP5|iUo(MWn2;`hFxgZ|1 z+JCO>{YV*eH#V5ESGlgLk-~F*WNC9jdV#O+{rvv}^#eLdh1PJ+18k zuI;mrUe$nPsZjI=>>*3tgT4R}RyZC%R)W<1p*@_U2eteKF{mTnV2VShIX3gMTy*`S zFSo1=-HZ&(RY2%vtB;uqI(bsmBVTTS5ZiJs1y@lCY>QbjX3G-TZpYL2G0Nawh<$<6 z00)Sc_Hpw-4j;iKaH2}~jn!4NkkP3;z7E8lf-t;LVG41NWSmZ{<)aCcpnj8vY?}mq zxjs=Q27l`#A>;=Pa2>7OIkgXbY{OL=r$b0Lt_x^>#uL_6W?~kFkj6ZUfxm=s>J5oA zWp4mp@ysU)YOS#f!tTWN98y=ZATOH%0S&Pe^#NnR{_ev!Xp{pD9zu1~=q+ zQYUkzSfVCV`kjB;xwx>-YST{+5gRrn9&Im1_>amYv(BwO>*6h7}N>R~AizM~d~8 z#k)`tl&7++yq=EK0?B+*>trtyPKn0mIQ#FehRmHrb1c&JH7zWiRwWJ1%J*>=w&``Py%I=Rpfknp06_Kgvbg z4ukb5DoU|uW48AF=e8g_OrE648HU`O;%vgVhRz4FOynja4(x{x_Hq*r?6fwa@#!(h z*-C9`M#jXlrg9xUdkMfL#Q!~sXX);NmdbR9#1M2&Deg2XzxqhvADqy2bZp$OPCI8Wy3-5YsM_S%|L@TbSb&}X^KmQl2){YC| zx!XLa-62aG1je8k$O@@TwbCVuAFas7Z2g%B8yranwr>TjD7eI z{w1>CI)l>X(==Uo4RJ+|20jVBIJ0jItr|yPe?qY{gRahuN3nUbwIg0P{dQ?m(?${qNE%`tkmxLI8~d&H8ovqxS(HI(nHx-Qj8 zE-Pw8kM1EJ2zZi>?U<5zqi*n1y~@LYS8;diua^wvRtO78_;fhC%T~20ygo={dJqNXE-hm6cUa(lIOVsH~)tx*LPL>^7gDVPAtDhTi-p10P@=C29{^~}V@012UO&(vt~ za(jLM(lDjeTR9w>h5taRD*-lF@WaLQXK52VS-#fN<&gKySCqr$6&6aLpM`c=qUW1$ zinIgOvmdN1TYVKW+@^=uL*7ZkTEK;{jK50+H^ ziXtxFG5bF7d`0nC z8f9$?Wd102=zXH&-+MPV+`NrP7x^oune0uaRF*`d9ouRa!p=&Ew^3{4b23c6$zu;T zMS4tV&bB|z*VvUF6H8Tj+lKiU+@rkL?w{k;LKIgr{Vk&or1Z)S?Wy%sHe*O0DV3Ns zy`-GJ0E?}FqeLkF$bC)42lM*|?ijZBJ`LY36MbO>hblv#=CQEA=_D)v1}O)fQw9Q+ zezDA+xIzLO4q2S{lcJH^h*`C5!Vee7p=77!)={bniK_ue+%21C5AGU!%H7dyl?war z;?j!MsbycTC+z1t5lSfnqT{R-X@QWPkT43vhH&y>%f3=3wb)YMWHgTbP?ii(k<*wx=I4%Wh5luguiX zo0RzD>Pk+N0(DgNk^{=|Z`F+H#DAw-*QnW_oZ=1`Sg>deVqb)-#}wU4{n$e3RL2U_ z6fv~6q!h#qDuGVK9$quG=HRUM{$5*hFmqIMbqberVFtDSauxMqz8UvBi@BjHp5?1+ zh!$L0Q)h9CeN(d&Bq(I|w{T%0D5LlFaDKO^ZSD8sj2r<$;_>;+z&a-D@yWdoesi_5 zdrkG0S>cyHf%CNlw4l<)c+g3v0q2JDr{=mQwbHgiB?A20Z9GWfXOx+qnv=LCKABD0 zCx1)s>0jp#6BDPrZf8!6Eq0Yt`5%&8Ck{XQll=$!X5BWq)-!N5Jo9O0H$v==(>`iI zj;9xaf4)utL1|R#TA-$#R?%d~ODS0u{@_Z-;{K19Mq^g7GNHGxS!^?3L|s(l%M-$) zJkxB|4_J3pk7gME;D#j}uTjHort0F3$4M?Kv+c*`byey|l0v_j^{|yHEKK#2k@_zJ&h6 zOq=NK@_|cuE_oMid%_QpDfXDmYLnzr=hEClTjAp#Ma!$Jt5SD_o||``R8(6n)dWlb zM*|zeZ-baMoBcisnt-Rm+}fhUk8wT*e$WChv+CjFbR(2xRj+fu#L~P|e|ZdhEV?uE zIY&4M#0_MX0tBm~LEGY|As?P4F~#~m%=)WDZK1`A!(kR8zlnCx!o^$d5^BDAzv_Ii z&oUeZReCQ5N3)5iLz6xb-zO{uLmpmKo89|Ew~}jtek$~zO&c3bCz0cK18hjf>=CwW zwtGH?$bL}+^@Cgs$99A;q^Q0?eDB8 zRarKLS76I@)4DEBdp89vc{@DtOC?p1j$c2>LzZ?g%ysq&{!~LMxH%Uat6q#~c9-s; z%P05AA3?vOjgMD4v{o1D+jJZlX@&igq!uBR!O7FoWx6@4_Q2Es#R)j`eUtRT6TC`H zru_6I+d>+9(3miIwqN~q$(iiXp*XRyrL_{1R}oXQQG{G?7PAe*)~R*0vyNPP9>-bp6%8u6k+s zk#Ff`>M(}wZr}8s{A5CQ=v()Cotg3_c(7$S-`+mt_f+@}M~Ly~0%c=Bvx(EC@U3fdy{ATlt> zrBFAJHs=GGXd;C_>HN8SlAkk%rV9SZ1w*k2KqvX;0Z{em{bK0iu$LVkDu$+WQD=@Q zCTMe%*Y>2PaC-eyu)@*`gO0kp<`yN=-a991F9}V;PRCOjIi(Ixqp!<(0j7k`*2y3K ze|BnS?>n-~M~3O${wUrz6X5?=kVqbP93Qg^+`$PIZ_g1FP47^xac>}ndLcJyXx`=G zho=8P97@-fYMS4uWA;)02h(cklg|73gsP09A>?*5!)ZkbJky7#H@Uc%#CW8S>wNYhrxubkVSfO&XETpSLu$FLSU;86gN|$P4G8;` zCAKc_vWdA+Kp93_EM0c zmQHLNr8fl{zPKR-x8OF51Uh#)s>1DhkT`8MkC_*_sd7yChn+sQe3L(@S= zj7NKq+U&Xv%)o4$ ztsvjuAgPt%c)U*&b{M42*di27Fn_s3S@vSE1Zwf{=|=V}85fb}wk-Z=^mBJk+Qcr5 zt^&6%#2*JGo~UBldeG|{D}*fGT1MCcJ19<-&LlgzfFM*Bi%Ebc1Wfu7sf%M@*&BUb zy!)kDOJow$y*gr>^!vabUM0bL8&9wJ?>8g4w*{Ew`;;jArXB(2bZ-z&$j(&sU@90i zMoZucZtlTY2eT1`PvXcx zcM9$U`RGZ6@e;F6IXqYkvuO~4qj&MvN=NUWd>faW`!0q5$9S*nRJ_Cr!#F!R^o2N{ z)T~QPJ*Sp99T%s^aIO`vwzTRT%`}i&UYF%Cmc`YUWrtajf)#XF!|q?T3J5KJJStPd z$QbbeMsq@F9Vh@l4eKBsR~Q4fUL3P_!?0xW^>&=c4JjD{&61(gH?xeF*uOV?@t~#t z<8{!nE`O5L`}p&|nPQseBIeXt@Ym)Hp|5rge~=4|*(q_GnuOzdDxNbd3LH6uImWV5*B)yCtk9lX!$~8)|;1EWfH*X%-qV zi3mNvzaT{atHf;6eG`3MfUO9*c1}qsx0^cr+4FRDN-x~nF>kS7(UPhN?CuI=V!a9V z5i0xh`88d+JL{5rkOSZo&&3vPlSDcB@X_$->TvW4PlDA9Ul<-aNEEgihS69}%L#`Z7w8h4Fg z@NR0U-hWUd(0<*Ix9!b#JF6ju9p(;)$2^~?r7Q?xoODm5YYxo5=LafIpE2pp z30ZP52rPYgddr+M(QHo95z3*$<0a|0ocXQS^NzCW6M7){qz`&G#W0;@fVJ4UDSSTp zA1JL3a!zWA`l_F_MI5p1E;agnutNIw%fD2|Tgb^kEhLW6~rDtnN zz{q_c?mqlY?|m13Ol_6+f#`Uc@!EzKuCi&ze(|jP#=D+%G ztJ|5?=QZV;JjG&50(Y{s_~$2YS*T;i+w3oun146^VJAE0XREwm=rby+-i&)LSM*`v zu$*x0D_vL#&F#hp^`-es`-_A0Hu%(`_d}{=x?@nk8ht(wMj7ibb(on$kl^x3>bbGE z_p;t{rHZZ4@(RmTrr_(3!O4P}>NAzc#{#7KBGZa8cUkn0|AEe4npF2mKI4`)Wu0L} zN>OM(jTRq@xs=EG4`j<64Ud-Lo+$`b@AFXNf0O4fbW>&7Cjn6OJ3Inw%DyPjB}3zk z_QTSHFD94lPGz1S!PD6i%6L)k%8i19yJZ+*qnQBGp>3*g+UQc!U9!mAe(bSgG%-bG zsa3oqEpRM-G*ZW_votbdS4Eb=&K(!rInvU*-B&ktZ7k+I_Gek>d!F4@+IJmY7Ei_( zQFHl3#iHkzn>=l{MJpY9fKkA*pBTR5JfGBuLY1A9sGH{;OTG3~cunKJs}toPJ2;y< zXPi1?l=&Kn)RNXRb7wx;ciBYq`F+&3b`(1hRbTd0V)H!) zs$5=U{&-6yX@8_Cv={IPP4g7LE?{q-2~-Y~Ht&H;RQ|w;q8gD9IA~WCza11d-sBzc zhBkq)Nm#F2^Bq`)wh0;?y27z3aimc3@DmpW=stYdu} znbBe=(`oeMe0eS&cI$=+4Bi{W_?k{H_mh)s-Y!qnsx(%ShPfdO z9PTRrY&b6-LT#NV7dC) z*vHO?e1-OtJbf|M;EeF@`pbOot=8}Uygf>SLHMDMgrDyn2W}<601-ajIH3L+*cuNO z8_sfD6)>279L#!wWxj0O-X^1?K>K--k>l)sGUbau`H$*ur_FH*xY+cEL{LSCE0LV| z5(ReVUQIBoS&W)&eY0{0YtOmL!A% zsPQ;S@Q3vV<^iGXPSr>>i7B`nz@Z8d4#DR?<}a#q27jR53dPu*?Hxr*%|JH!ERbEmiA z3CP~=B9$#^XY-r%teJpcgyhe1-m*2@U8+zUo1T6VfTqZ9SNq2Z-_yg@l55&8w+Dh1 zhG9S&X5;N%#ZzYO=HVmc%(&8HWl0VqxCvzq*;e8(c~H3dm+&eHDRK6>7;6`hXGpNZ zr`QaQHJVpOXx#S};4@yWE&q`|h znbYWv-3SQ2g>Q%yFZ-?MXyao|()Ct{vuLXAnaR80D(FD`s>zG78HRhR-(5kk+^Upz z2Dv_%1g?;>KqXY_i{pkhYxwr5_CA7crKk~2s~Wz7<2iTZ;9+JjABaBKkhmbp^jDP; z!=J%=Xxs@F!^m&V=M%%sK@nujlQf}8sPcWnv&UQ1zm?U+zc=~G*_QwAE(p8ouY!l2 zTE!OkvEqX3a?X?9#Zd=k&+JpN7W@m6n4gf!E|>~e2v2*EN0ZohOi%fFb5n-|4y0CB z`+t=(=1*l7o7uKTew%S$H*?%KAvSu=@yCPVO=t8fZ{U2Y@|94`4|cgjzaq_Tv8nCF zpnTNgI&vbXG7vFfzhdzNPvDvY#WsmphSq`O_>oAU^rAqqzX-kxE-c_%IYwONz}v8I z3VwHw1pkOy#1^v!5YxlkqgFtny5&`fT8BF9lWmNQMZya?0KwZ~MtA+wtfwDM2f90OFk35k_Q*l* zv9jP4VaP*vll_#|2UuPKfE^w+8r z`yQFdN!;BHG--J3QxpdVC$gz%e<|7~oie!@TqdORq?R+3WarNyTSe~+u8Gyw_YMT= z*}s#XQNjp(tFYOOGhs=nM63I>Ki^#iRgT>4qQ?r~Iy< zL9xHf+W&Yu%cwTKw`&K2ySBI&cXui7?ox^eiaQi5rL+_a?otSW;uLq6;$Ga{-T6K9 ze?Pq6GMQN`S!ZU>%(?HquZ=|A%7WjIQ3n#D_t}BF-0*+JveC}+Cd~uWT;$XyAZAfP zRAPTYYkG)4z5Sj#6jaG%6)Xz?CrlG}Av7n4)nHbY4s`rTCtAE(nSnTznF zKyKR!>dab@(r^t5cWLa8-wGsaTYU=;q%o%w;}?kXw6F7!8ORh+UE~=tF6B8L5cC9> zC+kW&pOZ$f5u!~UIw_`TS0Wre@eD<}@}ik7MFVs}e+S=holYpBqQ(bKQoX0OeOlN} zli>&h()w6~>2iJx25x3_g(800mcx;Bo&nWV%z~F-v|!AJ61aLOQI2xa?`$9fXE*dM z1r;v@6HoBl`s6NE+R@xsEre6e0{}J^i!P2oilJ~Sc~JN>tABZ~4f`^_m^%wj zws#|Sl%=|hQt4~5rbFhi1ZDETmHgPlSz)rAvxP&f`_cDDAEVwwzn1xyoUYUm0gZ!$>ZK?}YH;0m;lTy`236>BwkrWXEtacmQA7|PlxTGaY>kT`{lKfsKFlfl=8ysckK2Zc*Dcv;a=Q9@Z%L}!>nC4ZF- ziU=T(T1xbRyxn8pE;hwcuUPy!SkzDY_NFx?eIj0Ij3-yqvi9|!OJ;V z1Nn#3$>Iv#B9`xe{XMn*5WXDlwHmv7y1<8$x6CMxh0E3=g&GX!MSnEqD8D~Oqx`Wb zl4l^6sdP8v>tJnda6F%d5vTp08IyEVZ32_fj48T;vwYjGA;)2!=~RTc{#)Vfu)M=aJ#D-iee7?04~^`#cc=jgR<=U7pnVfrayC zOJ?!sA)QT!+brx@#Sm+Ap`Mb$+@2DF-Z-{&(_Ihc^`{9j%IvBYQps7JO-)V>$CqEb z-12r#;K%_JYle4^o9T_GmCP6KMrT_chunEjKieqDyn3(sF%NM?equ;Ur<~YM8FEnv ztL&+QmUAPt6U=LP8)Qs;dhl~$ACD}5Lel+c9q{crX1Iu{PR^n(HSt%>`g}4Op@x(~ zxvl}%F9@Cm{|FmXzwkX27iJGw>oEZiSIX}`qmBxE#GbEoB!3dA3Lc`Y810|de6N1t zShR*4`x_cIYtzTA<|YwN3mm%@&FP;?gD>;Y|GsX|qQ3*WpM1gIMS9;a%;uxszQL04 zKn3(Yq`&M@?^CbfOpL8j!y&h`%`UDfHe2G}#K){WJdYEIY8@?`#j1i`SJdNCv)Q;Q zPVpBZk1=c4{hBW+@xLJHMAv}TrM=`LE91%)ty+?{W;lfUTHv6#)m=u;vy!yzF42C? zZ~kSs&+%_ZONCgUxUz@T!GzH63_|5D%TogFEfSeD`k1_jBsTEq{0m%>f)&$52s$=L zefH+Z0MOOrZ%a~K+TDpM0f&1#gL#8T!;{eqGngQ0aNOosr%r{Qby1}f?vyA z7jCPg`j9ZXZ%My@?KER~Gt6t+uV?$HWpv$G$_f_9-Uvi#ZuLh151KDO?jg1z zY;Z3v6|Iiav5bp_lHh!AjpvFHb=XiGdU6GN`zUnGSGGj^Ci1n7TI!jB?v&A!R z!q9XB0O6EhJKQy_*(nnV67%{WB>0uBp&EDjm(!Uu-pI4Rt)?#uDy^EgOZIE9LyJPk z>_6*S3`_7!+>jWJjI^1%CSRyS`}I(y$pv9qNkz?~Dwxi=yo&c93!HEHEOlXfQ$+=#Bt^VE8Sl*23bXz(FC`_~0>ZApWd2-KHTVVzgXKVM*>QccCQHx(~XX{H=E}snZ1umZW zH4*$0#5os4xNh5A|~M zXY4?_$fygX88`E4Rs83gl{a`fV|YsY-3+a<$omDUNP)GUr#6YAh2iU!)p*Ksc;^eQ z+4=O+CZ&_>w4%qiPaEB@Bf3ZZ%| zH67b`(fYHb@Cm>ScT<{q|5Uu>_l=U!wBftL)IY6NKh;C7 zqmX*rK)E&ivG4UP;Ivk0YF;hnV^ew(vY~6L$i4(i*z$oC0>J6TmM=Cw!fD`pPsK5m zG@gIJ8FC*OX4TkT%t$n)SUxaVZ!rdWI{As=WT`WzIJe?YgYwAuKD2a5krg>XMe zqS|bHz*%M9LR6z7Mb+7pmsbS0u_hWn2UTrCj7l@hp#EomJM2pA#G=4e*VstcElQLy z+#&)#289UWVmT%b^snuy;n#Vd=PKlOmXt$$@9fSPc$I65KV|{!2g4LcQJcr0fn<+D z0wJL2RcU)3^7Dh*JJb9z-O8GoG7(zN*^rGtkFHbTgMARJfMV~f-Nx!Yal zR|AJ{Zoz34)<#n<{4JGq>%6D?#u$mm@_}4E|A8o090dEpWP=q;t@RR*Zh_htMZ_1l z;g%ON)lcHpWAs5~Xx`%Bv$?Q;=hl7B`=a1O?YiEjlm(5IzarMggk0hnr=PhlA#;OI zt*vjCmLy_8s;=w9fy4gt?b(4`$uR)^@78^d!jPrXVd~(m7??l4dc?z;^zjkjqI#YB z?ee{wnQ*KiB#V8r-Y3f*34c0j^1g$zLj-q-;vo` zlsFI2tb^Y&6~$Q5gM&;!Zet=OBH4wGYp16rM<`kx2O-;6SEP1dv2*98{ZKRlq@8L~1J0KIVK_oGXZsN&pSy<)_*ubGPU%R3 zGydM>vAwPjI2_Lk?^H=n6c_5x_`VH|PnG&zt?$C}A&HJDlfAd+*i&U18mPsnb!O-F z@ZSeMalSwR+XK4_7pY*4t1^GUAj&*U?#UkhKCs8#50 zUvdZNpJ1vut8DGLvc3~DZqv;BeV1?GFK10_^Da2OJPu34s@^S2@GR4}LspNCay(p; zI;2~cVQ$e&kTgP8%nXHLd+Q5pWiM`o&?|Md(}fFtjGr|{=ERFwT(5oy%29JnL~p`B z{!x$4&()r-IBwF1Y%|ZOWrtMk>V}#ZMD8lpa_~=3TBf~) zeTCg{Q(5?BKo84w=!e@qWzTBpc8G$RuoMm{urj)U~*q-fy;z6dT z=XJh48f!~$GGRew_uk_qL5q}nzhg}(kdiyk3yp~A0PLbSCwh|jR>skXQg?^ll zaF)TwR}N-oKi~}Sd;m89xyVcRVx86oje;ls5WABI`BbUao31`D(T1)PDv{?lg{~Yt zU+0iC>m}mv2`S%`86-ved_G_ah`m_%=32HuPiLK1+(ni_ThTf4AU~=C;PG>uGKDt^ zct6^dVaAzDVN~nkGjnUbVh$&ttwUU*e$xdtq6(Ld5-1QJYi@z5wclH->=|z4qAxoN z?KoR>GwBE_i?{FwIuCXU9EpbX;BT^tglw?KE|PAM(8_~r zqJ0Gp)({qD0!!p+*e=;NV%7~wTsBR z;`Wl?pOBKGpf4@NX`7K+K=Kj2`hsZBx!xx#pQpEE^Srx4_qXu5eG#c-yEi_6J?aa{ zFk6-zf-P0?sq~yd$NFxVI$%K@MB0lc14yjI^jg0``^Y>zof(p~sDlSeCh=cac|EnI zis6I2ysQFJg3Jgt1b4Irb>QWoFDz|5(gc!x>Yu=UEcC+sQwr`;AQc2s z8Y;+&aG{RxdhsnkXyfl&%y{7`I?%^m$D-y($mAZCzmEE>(kKiuRoToavvBL(0a zB>cJ1kybKM9Vk>9!_*`Cw(ylq%o;}9&m#>ab`QcxFh^ zTTya@;?rSt(90EO1mhZaz zJMNw|x+Dgt=p8HuZ4gjhr+9BWAIzl%pBi0BP)2h%3jUAz7{XES$P_vm4& zgNfQFlthX96tQ5hPL!vIMO+6DG$`Q!(vFT&OWqp?P|N9_k>4^_0#-NUUIyR21yfH)6u=dI$n3JHmKCMADwb z@1Bn}tOVSeFp%^OW>j{Q0O3uZ4U$i>EwN3kV{6ZD9}TNY9omOgu9*9>2#>CGA{mq(K6Wrp-Nn!x=bm`iZ{EXsqf#=P53!H((3%Btg1)M%)hdOJ=49P$DF=cLR3$= z?$u^#o|=mvD`(QJ4*R5iYpP7aC&R1PDvZLSbOTL*d0W|~P~JKRkHRx!W`h4`5LLGr zn?ITUd(*&JWhMz^M=`I};giG${%eW}-oE1Q*}#87Iq9X`^(-~n{h`96id_Z)U(t!~ z4AFNI6ejo{=f-{%6{W&^?D3&nUx{&6G&KDCAUW8~MM(7}mlU&NOFZl@@B%FT2{*su zLaR&OxQ#qT!eT#y?u(ga3(d=D6n(^Ia&q}Ubzi1mQV?d8{)FUus(&Z8V82WvsP4?#h_jK>E~S$I~mC7TNqc9_iC}_>8za}DIerm)qL=H9>KrR0yyqR7XL0gbsd!CbQ z>rL6$>RDKw^<`?e);c<$u0p(uRLxmj=Ds_XR4RhXXcWvgOl4hGTF$w6@Y4*@mnp@~ zJ$cOC)PswJ*m&CJ(|Dbhx7~WMS7z0Ssua#YqrdO!6$qkV_L$0z9hAhQnjWSOj5LM8NY~B8TYw`{@{kAz~Ew`DPk`1zTTb8MsS+3D@^2R-6*ucOn`h-$+ zVkPvuLki;LNx|0$*V`JIn^E_Sia2+;#$jhO*F{K-cj}&gEz~xNn$2yw^nWG6a<9J8 zGDBq4Ga|yvTY4CVw3{UPOn+*?@o+PXUjA$HKQoJ$qLbipCZ(CrZCCmNAFyR;ugYoV z*3Z=NbBlyWZ59-H2PXz@Cl2~&F*KyeNGA6m*~$E-Ik~*E^}Y5vCgM?fRiroc19w}0 znsxy2YVQC;ha3g(0ZUErWuMEMv8s1mT~R3;bLZa*(DR|p`ai)hE`?^XX-H9p1T$R| zu6^HNSjWNdmp&XEnSV~DhGeTg?+2ffH^7OrJvUUDIkuDGjjkM+&YhG}82m4iMkjYB z5@VCkB4)1?I#!|_tbu-1$}W`7>ql%_6%-_aFgHoaZ@pe{m^v5phS{={Ij`?rI9jAFPjfvkD40mI>9IuiP@cG3DZ%wCPCMlywsQm)Pm*KC-C-svLk3nZw*4a#>sC!AoZK=$Ni7W#lz-kpJrv(<6)X% z^pD~6gejkWP(xAkN?eZD-$IQwrq%bb`20`84hB38P$RrHW^IAKCczk?!1RG=%D$X# z?g|^~Bh`(o*7<9$y@Q<*m1Y`l#(U7H!JFpKuGFa{RCYpAIh~vU3!J=aa&ez<5jIu# zw@)C0%|DJI6VC<@M^T(?qt(8gvE*~fr={|f2}N>i@-|bd8y6=wnLy-px^)$WGtP}P z#vsLV3&3e;4{)t=Gy$_RS&mhvu*{LUMRtklnk(+W8KJAc63 z+cfZx%BF7C>UxL>DC2+-!uHVX5&=rashRF-lT!bfm@y61BmIJJ11EGm6levnCNXPp z{-s6+-yDosrJFRShCq`1Sl-LJCo7J^Ez~vfIGEmj*H(~_Zgu_n__vxz=ghMNH@61d zV+n-ark|$FE_H8f^$B{T$VZXZ6PNzqzytz=l0%*UYpc3@Xc)m91zKj+bL_$9qG9NI zQ3;>Xk1^<<>NlEornimv7)^|I|2IYMMBMUa6|LNbxXDi1BmEh&+Fd<=kH924+Iq3F z1Q9$hRk~WC@djZL(?AnEwLjZm#QrGma?SU1WL{jHe(_$mwoD7VlfE7rk{I>$F)(Oo z(3P*I5^h3PzUi|t2WwDY9^=k4emxa*)3L7my3m$YaOXP6R3C`ZQLGKJNO! zi}^GC2BfbqP11JupB7SiRx&u2Z+sisJwQI9Zao=R^MS(@?YMDS?8?Mmc6uIGOMgBp zqlnA|z!WR~ePG8Uu~pyKP^|MN*q^J`e8aBez<2d4T2Mj;aCBQOQf!j6-wi+It0UyE zqX&K}4^+3U>JLvC~tfdc2B>@O>k$L>d0|?NCWaZTzuYl+2`8OMCz5acZ;{9z)n@aD zA5w4l7x>v?z}*+oD}=YDz2D_(2=D4EErK*1w`$8pR|ENT{0Kb>q0tCqqWT4e3pY>f zQg@xDRC~Fp<0hGEtxpu&^(sQz=KKaOTrstC)t1q{uUbpjlC=$UrS^yqDHlUxJm%H? zg8zY7ZT`(q-z=GqlJd7Su>wT+icb9`xs#yxc)cQN+vtzQOvup~1s@DV^ChJNJUQ?h8$6Xa?~BVv~w zi#9+TdaOucXurAkQ`8{!qrdeAGkwD2i>K%3yx)ilXj=a3UKKLenFdc23LyxZWS_wi zVQ+WVPl!A*26Fz0e?5En>3j2QY*W?P1HIp$YIc6~qd)qFf!gP#WPQk;prKOyhV?`0 zp3eYEBrVSQYbD#Epeuy8YyzLIf>)VhJ$AGCjObZ^`nc9<2^VuIc}4)$+Skj_>Wd$( zA`q2a#ePiRV3R^;;I*wxx)_4UGH*)aALvQ-betLC@yk)IQt^)IKNmD#d zAr4TdV)}63Rsf+Q4`S-ZUmh2SCOIv{vn>0uE9!)>8&WmfHhW_QCljCKSbwOIEB+7E z7eUg8RO^2{%5cRWi*6@k88B1-XULU1<=tNXvM^oCpz{f*-X|!T8Rw3&$apX^%~XME zN6yZQ>1nSK_}-TvK~Z`x@)nleoAKo!NB0{q5~tSVR>xG!OW@{Qa)HBqN-zn*nxpRm z-y!zf6xjFv_c!Za*A)&B!L_U2|IL?076(fww@c0usacz0_5LIM#xQ@IRpWmNN_+gW zdrKoV(j=l;=c4l;sZxVH@3`nwojxX0U^GGUh078FURYKAVSAJA~=n)-DaLE^4 z9N|!YIXCEekvF~&Y$-4n5ARkFluBzcFb(?>dUG&WYbZB8pi~4{W7Gy7XDMAq=`hxu zjEI0L^>oX5ABG|6-Z=6|4PPrk3(t^c;chmTVU1k`b6|fyd-=gG zG20Ds{x67$dbe;$t;T*IX@)(>dLOcEz|C(Sl%K%VR=Yy*JQf{E5N!6U4=cIf(Khq* z=ynp!{Kl3H{zuhO?p3vKV)A*-o+CCB=K127(_~$wD4O4vFlTW18x~5fF_TrM6En`z z7<=iT0kKLh@?k$#)PYP4coT)qtx9j@gXROAy#}{;osQN!c#OtV8Xqr1RIVwhd#K*b zc->c6S3#2pSm${Oa69;$!ma=EQ+lXNzAj$O*(4xRd@hWRI^&e&u`pTCM2RRQ^z@SQ z_h-%SgvNp>w(6bgssP=zGXsHaVZWKzm3daMno>o|>>T5WdmqWQ9OiQbqNHWRc}JAE zjQ6`Zkia3YxO33DP($HXAYgmiZ^rSOX#?g)|8eJvT_+R=6}s%*eBkwc!j` zH^b&D&UMKQ8AxGJgkt(9W$l#PvlpCs85<PHjDA%Vw7&AQ)h|gwyqBB{0S%>#@ zHyXMcO0*|ZAdC_k6rlSZu>O)13e_j)u2{XXkvVNHPY zB1ZYV#qC{*Mr#q`W8&H-bSRWLb>mDZ5o1{_W$JEkiogvl9Jw0@+NdwPr>jW{KGlYl zfipEUa(~x1L&-*)`amB&R%@3Fij=!x+R%pLJmip7tjK;bU1cUP>0N%9nNGvs0DFE= zNdgWw5_srPOh;kO3t=sT=<{e!Qy#Kwau71ZhZgg_=yMhls^_VcL4EqLQIOD?*!s(9 z&MdQ`Nfd?H;nI+HfC9V`F|H$zf*5+G=A# zE+E}!K_OO_N(Rww#X0iCD;+ZHh=$E&Q*)}i=gfdS^xUVy2f!fUo+;D63ZF{NDMVCM zv1G{Q6!N@=xhY`<=;EaqV6{#s#kVK}4v#1iraFF3guN((2h%7L8@o_^1qHISfGn>P zv9Q(jZom?NmxXYqG@RR=r5Nzuy^n@|KsY}Zl@ijseWCU-0Wkji@QM_#Wl`O*kf4g| zsYhQ)V|_+qaB~!VN;`G5>tDv#T6X^;%#UE+yjdW{Jq}aRI~nDP9PR_jt?+3Leeu*4 zLdJ zG-63jQBz!*<3^U%k+T^a8nl>}kg1h|vp7Y)h|B1~q1>pBZX~Z{mORqOY+q+}ux0yo zO`TG86%jW$5+qSHxRv+9kIYXLIa*!m#H$AAD_5)v==aq@9*OO+4G5Wt(CR?~A|cam zbk4#a$qUf=!yR};9)4a@Yqf`Kgs>j$e%B;d3Fm>1^2OcvuIB+0(0X}o8(k3X zSsk{2$~8StL=!{wI*y0uiMjpPg;Q}6hs%5gP*_WeSr+wQ0P%%Z@x8L~U^3;fgO4gV z#wkKyAHP^j7y}iA0g54LG=DR#+o-ytsoLbqQ(;cVv@I!?bq>&AZjL4t)i!@G8krhN zPZ+_INnN=slpo@BN5P6rLf_BIfX6cgf_r&mV^wg26kIoD+H7Vb?@mJdPWmXPHF|c4 zp1s9fw&ay+;5?6UR$uF_D}wZ9n8V+4O8>$Z+i!uHZ5~Ry5q}3h^`-yYJL;^|gGx9_ znzMGUyp%1zx0UME?I>rg5XkTTNy)xnUYspQz8d1&_y$!NOgiR1=2Sj8^5-CB+{2SC zZ#jT^V2xPn7A1WTpqN@|@)&h|c9GB!)!#M$hlK`S{fVxL0_lB1 zHjq+|I?H&<9m;uEz})dHTAS?NTXW4@)D}`IqjB#W)RilshSpp>{+2PT1=9z5eB`eL zlw7ZYqX1;ML335>rxTY z^)}6PpA$$wvX_Sp#f7+sVjDSY&kR*vn9~NiO-tg)4~9PjLs!|Idu^J`#C7D@bhkmf zugtJ@!6DS~8`APhdN61k!}Xb-OvCfmCCHa-`mzBFCp^jr%xk1=<&)?jZ`R}z8n|1M z*(R*MD{##qC`^Fmeqfqts>qK*s{7dmqw%K9sFe$G)shV7uxv!XDA zS+}~ZeRJ{<3EwULOsW}7F&|lwPfmp69q5|a_!`72M$)oWP*)W#6Q;vMO770Y0 z>z{vX{N%?-p$VbGn=K}nHJXy}WS0WJH~Wp(Gi zs1}OENnL)udjP0`!QQ`f+;Q;DDs`-0f><&BWVrn(gZurwzW+z$ZAQ%EUT78pnmE+x zmWr@0a{NgTU!&y@q0Kf|ejdhzV&Tx|njUY8RIELccq*l1(YaF7cz<|L-T@SNAGRe+ zdMX4bOJ!U5RRw6F$gh86^K}aQ-W;@ib6ZAgb^a80Q;~a|_bG(+7+xE@Nl&qlLDm1q zxmCy>KXvq*h~bxX9kj=+D``?P&07Xv=9EOPTqcYb9M79R7k?zeen&sAQYBIgHl=Xf z=D)2`^>pKonK-*|ic+B6F@BO-Ypho@o)g}`rIwoQpYH(M3bxmsAoq&g1! z52X-4&@PO`B&HAcg=}2BK=%DpFjP4aVjWw4tv0rd$5jmY3M?JG3xNZU=LWx%OF>Z1f@aCp{T%A z#(ama#_1U_@)*>R`le9GKb^Tnh)V3qz$V~ZxbszyGmYIt$n4g`{KvqL$k%ovTjua0 zRGPZA7HIB6k@ehGZ9->67vl_OMN>+wI3togg$WLtl_Ay1rL9l?5~gX<&RWm8#qLV0lu8|N8a{Bb`!()KQ< zMIqNKaxvgu%TbBj7&WV@`Zh*SS+n8)VEkwPNYkOMBy3>$=ZdUe$`EIJPu1t&A{=MA zS5b5=P18S(9WUblNt$gH%xn4EG0HIJv+$`-RvD1embV9t~K=&LPp9>+l5^s{02uk2w-dKH^ySZ>K!P4 zGu_a4%)w1t8p}`FZ_qxMR^_(Dd&NH)$_vIo1oOBkc*jVD2HmF@b3|OQD+fQA=%0%u=JLaAcgItHVk}3~JS19{I%q>-4F8L^XWoBNj z_Z>|z(*vyh7j6FpUDdkS-Il=XudJ9WCKD%9i_6IaWsuN`tTDn7bGNA-%?gBrq|_T) zpyj77r@va4-B!0MLb|hkDX)Dz4jM|cJc&BiDh7@E8K0yhK99BBUOmjjIo1@g{i?|s z$oQORb8x=fjeAEFoMiF-RjvhfG`xWdkP;;PlM8#lMfoPnYRsc{3d!wJBcY+Xt`Byc z>-aR1AVdmlZ%Y4)H%=(ej(Zp2N?BSRsoC(wE`da!^7RVm`lu9*)8}C_lGUT>M9ukM z5l1TJ;kSlQCq+tlLQkdvTjv##L&6)bA^xUMJ*(;DtCDpt z4eriRoPM^|mNiajjau&I^J_jy@r*xGQR)yTQ zJ;^#Nv8(bz#YTk_TmOL;%79FgBnugzl#oxMoO9|;0~_XEZocBVx;kYHUa%^P^10B3 zs3+yP>Xcs;PU_$tf|!kvA# zhobt+8Osii!sy!`TCbK)!3i3-TN zyC$w)f1z_`QZWq-PdEN4xElIs@Kt$C-8{@)J}g0@&IOMZFHi4+n`nqr&fTEE5k{{6 zeQD~e>Ko6LBeiiyWlaf3iGQTy0#;-Xqx?eUY?A&()@|Gr8k)muA74(f8I1&yaqfl1 zC%~jiB8M#Lq$QN!gp0>;HEKao{`_<6J0(g3b#&1s0EOK6O$Hp&M{|@6bIl4M17YH% z?PkHjMBQRjuD~+eomxmmQA`P*x~%AEji-SIQH|o)Fs`s#EhI~j zjQC9G7F-1f<4Q?{ngb+EJcf3pB)!c1ApqkP6a~`pX;6z&uq}vpWBz2%poMr%I!bE* zcFV7Bh(ZwV17T+&qVn4VVOxt|@&L`jiTdZ_K^T@ySKgI0TAPfcC&-^@4#^7!AWO7% zvlK~-m|;Wv%m~u3MHZq27U|7U6mhFi;lV;zS?OgIWDpDJQWUWJ;7UMRqtFNQP&v^y zGgVr3EGJL|RT({%|99H^lT$An7+O!p(kEIhR6n)@=-Oz)tAb9zuh z$w1r012DFa?&JJ%*$bnLQ-6JN`$H-8s*%<)%qW*BFg{Y^@^2+$AOP!OCYx-(0tVWT zt69>le%rcu#3bU;6G+hL3WU8j{&hspDQJ@v2kNetQXZ<}FsDe-h?`H8mo$7d$}zil zr-=y$VyoYY#-I8|@8jN;pY{E3&R)23tYOxLG-i$;2~jlq*rF#+M9OKO6vyc{UWkGZ5q$b2k0Tv2R@zV&!pYqZouCf?8qRdCk$ZT1x_QBj!8f$ zvMw~^QOM)3i#w0iK`djNJ>|TD?7aZ~W)NIX)2|L<(ouv7YDn{!iCRI&hm}xpu<0qd z?+S#8riUK25C^4oV;y;kXqd`Hn%2FGnlK{{0oLBm!-;m&^0H@CD#>`8oJZ&uFjUS% zG*JxW_;gc45hVHnfX-5Gpevag!M%Z`5Ocp^M{S9KbMB#LVGB?)w>YY(vTIm zT%1d#tA5`83-X6Qg_#PxBl2Re1jYbDW{m6Uc!KXUE{sz$c^oi-sS7o3690DF|!K(6)B7iyk z!-);0ZNoDr^?`inbZ1`lP1*QKn$%uRFn+_m_RAmC=Nsy~o{)&QU{#8#bpu%^tw)hZ z+}Ady+vN{e!0R^cE58}G70b7pvF7ajkg52ig1V;XzPDgq3U>|tGV98mxxFhmXR}y$ zh$tt@+@#8|(b`hMtG|H2&5-8u*I*9$&V?n_`l{GEspm^=xq!ZRRt8> zrCenYvIIYAxGc&%ioW^qHny*0MqVoDaYI=07trC z_@oC6`wKFH)kd^0(bUfaz4mDlsUs;F=^W4hKpcSl$EbF4#*SXwAGmqPRj_J8##K1q z5cNwO6cJw+JyhnlLsqSQt)-1L*{NoJQ3(@uFG%^9a6deaK1{r!qVL*Kr_z#$;ort> zb`KSOI#wFGh~XS%jlX3x^zI4#lI~|i_0tS2fXeXW{t0Wirhva$vKS$ z!{EFZNP7IWBlxcbbE8r4n5cGr>bUzXaH(`cHt(69oBKlu<-2jwV-R)aCyYuO_&?p2gS;+<-K4-8c>k!=D^RETE57u*eQ^B15jdBc3RK6( z-GK{K$6wU<`5E`=FnOYEJCyjVUT*iCI=zE%Z$nd6M)Y2hy>T8=xmyhH=;^rPvJ>Rf;b%Nc1^ zF&Wp&f)UbU*ipSkDP;ngTzZPU`nB)F`bo_jF|&b*ypz~%|1^L;*lW5_#SdR-Pky4z z;IcpX@zo1vNNIRgO0S$s&71cu&kxDE9F)QbhUK?5?y99^5<3r0j6tSGw6|Z#S-w)U zRl|xOaOU!X11hVfTA5iJ52aY^Uect#_e-r?KRdjRMz%uZ?(yL6mo>SA0ab7W>btp$ z5IfmeM$Mrd++ia>AGB&reUd#*G~r7f&-Es6 z)#^XAaZ7zKNXsOR*wBTtPNA2NuckW`YgY?W zX9bFj9FfoUnsenZQ9Z8#Q6H*}avvO}M9oInqe7f&&qSxlm!uvZG_UUU3t$UE%7jpw9;lzJo0H6%pO=ukVEeiwZmbcq11)Esc?6OcPAD?X8$O9C-SmO1 zFhwq2%YiPVLrt#K;o9p%ao=fv-2GGPb>Z9QZy{T!CK!xQ6g{uTP0!fWHmR+0!NjOb zT!8JCIuYYT{UP<$4ejG*DAN-~_&xPy!SR?>#Q$!)GOjbjvXS7TLNeIm&0;VxV~~Y1 zJc;2x*!>4O$AkDHA67_Vd_A1Uo>hEC%0MaZ`91Uie%{ASQSWO6yTylevdEtl9{@J` zPRHD(-YgN%8#sJnd(Z7dNuKTgnKR!NI_@(nFg&{DFKPQVxc9jKfh>w)8A8iY%{jQb z)a*%t<^vI(<|er|3FgT=f0BXlM{zGluIZJIoGo6hS?e8 z>$j<^G^_oboxUk&Q>J9+n16v@W92o_TK?=p=!t>>3=e;V~QfA1o`CP86azSH6R2O{>DTNi$WJ6v;9+)jxUv8QTV7p^Q8kG zjwv|9+Oi#4#1K1cGsAE%4ZQ(v32G-or3vsa zw{;;1fSDE$lG5^X4-={=gZV>GT~#bOH3JlAJRlV$o+^^Ih2TQ+cwjolS1SJ#t`rKq zMTt)!5}+~Y7D%-ag@a*Wkh?U(PO*-%$boW(uOmo!lxWr08wNb#QNyFO{QH<>!_~I{ zwo4!m1PEI?8U@EXfJV6-6%L?E@VQVT;IHMX7}7*ZdoTmYr#@yYX5oU^Z=qCf!#UR@ zRhvn)nDL&L9_w74-rlgs;+{@VoMY)-s{WnDJ$1R#|S= zjd$N%UQ% z0)-jja7ne|lxI{CSe0h83<9;GLr9B^8$8-K4^-uvbPJ<**b7sSd0)LpKbLyuIS0hd zF8Wd}Ym;;n&c%byreTI{&Bc8oESENQ>|O1i|B3`?Ff$)-RJ<-exoPi9uP_Y+2J)qx@R0t$}*K z(hqeF(N=h9+I{qA@= zj~!v%Rw7{cuHQc^$D-7H4s&(X4HNYmq3F)JLV0-@2iYwpzk$}4F%PMU!{8VMv>B-5 zRg?wI&JhK~xC`i640&?AFIA zoTS1iX$O!+Ek%Mn_H-<>S_D%$hyoAoNM$eVNS(sNFF)2zksoyIdtJD!&ZJRmAB_Rh zJyHN>7e!rZ9PDTvl;I2<9(C<5e$cuM(n9aU^6z_t8MCBXTLC)L0E=riu_M2s?De9=Mr$Gg z!$f7Syz_A5*C#FMZ6BI-k^rtb_3B;TrKkK?1*1X=LY54v?S< zC_XvWrU1d|4ilq-)H$odr)Y47j!)QhhFQMb>ch^`>tmkFn5tmNYEj}h@LY~5Daoj$ zR^t>5=nfr}6F{eO-tG*-5qY9@s?5TNIuH7oe<;dmZf9wMZhm!n@F4!7f>05oma7Q6 zv^jJ#Bsh?wCKYb+w;Lf{>0p6094PsYIA4wp6yGc4YXh!Yuij1OfYaZk=ep_Wltb(GgaQK$Wo83p=iMwJ8uU}An|RFL=o zN70q|C6&J43n(b=MTv?lmOz^e8MvaRBDo+~Ip#PfR^phclv`z>sl_0QOBoSif=iRF zR$4h>=2|L)j+LpIYi4S0l~c|4cYptYAAIk<@AEw8oadbECo9*U*(hbY$0Lf29s`U~ zm@oIZ|L=l-R*M}Gr0eKuA-l&Tbnu4n7=0!F)Je|Q+#{#|8STqC^+XK(6W%;uxmXLu zf&O9FJx9Io+|^nOGQrjmAx$O%&+cTfO7M`6k8zG@9lo%%Lpi;+A5Y7q!!G5}PCc2c zdV1gHA%@NYU#+O}QHE6;r+YK0JyQhH0g2~CRT|4qVGV2!q8fJmSV4K9kLsvaYj5l7 zyhB%g>LicVZFv5xVUw`mQvv*s>0@$WnB&8;<0&z#F* z=wb6eBJkWWsGaqk(rjoHn5xV-&6yknw|bY zcj5nT)G?1|=6;ny&TR{ZU*@tQ?l?%e#kDdgA=_zbk>=mNLkcfb;g^OvZ zvK1i?1q1C8Jn$h=_?wNcxrAj3vN8?z?$PVHU=0{$vIZc1#2XOh2k-bpxyB?6!y=h7 zyN81O7Rgd<_L`x-nW3O%njMO+zMxG&%$>JI#^hP*6Www2+-~_rvYVLkORzstsjt=H zxl{YaQsxQ8*;6kgnJlY&u`KY{eQUN|A#yFF@aT2b2$A;%B$zb6jT>arZ1z}vXUIWV z3Rs$eW+6r;yn#GKUSv{S+1=4vlZd_FA3t>g{7!6jkMmhBLclM$7c_RDQUA}hq&3iM@jL$;;0W6kkh6hwdDp>0=2 z>gBZrcTg#j(ZUd%dM?zoCP#i(1vE!~jsp-=x(1uiJBHV|3E8z09zSI|*)}Cz1|R() z|95$h$LMPq@MX24^bU!noGNiWNA5rYw*=euNfV}LF`SuTPJ>~_*QzOhJ{eg*;y*wF}Ba;cx|?Y z9`UBy3kAGf$4-l8p;pZh?lUhe0ygssLfeTo^7Y*$)-iR7$-x{7!Y3!#y!LTcf;gQK z2iQ$1Wl~CIB3Xe;76UpKIrlYIs*S$i`N(!I@&c8=!BqELFq?(_HUoR7s+2T+-xYvT zDpHf8wqMME-*WF+$f9`5M&G#SV60g4=8+f)B#-fjP^ z2p#0ED_yC?^gX6S6E&UHGB*Pn^f+-$DjO5}eiR(25JWI`r3`63@TR$GDU zlv@H_21}y8D_Qm%k*)w|zkt>{j0Unor+G6Moepb)z2$}MwfJRoNg}ozAo7u;Il)+7 zK(pHynMO~*Sdn16KXD=9gd7m?C|Z?*fBP<@m6eG@+whT1fK&32d#(S={tta6Vy~WL zik2&HP$W!8RnVr*XS`1-Ro0c0UXM!ErQ3=!8H8m%5Vzo$^0Z4HiymK;6QH~`CPbd{ zSG}Q6*tw_no68;5cRqmzw&3e`gBp>t!z$Ez?TZ>X-_V1X7e619?|rsNo8{u5TSYm+ zc6tqrxxrlyW0DQ2(*ry~!5^_Azp+Yyc`XuSv2vp_RnH2G0E#{0eLKC)YHKg~5gZ#( z&#gOwOvXc-Tr*yKJVaxz4b%|bNReeSVA3+H(3v1?+~%@2tDa{D%phiu1B2^lHc+Cm zZV1%#fQMUM6-VwM-h7Jtw+|xY6tfXz_u5ZS?Ezt_#yQI#3` z5RIsi!&n`YkNW~zj;_|)279YQgV8LoCicR>FWaMjzD|n&H3M|9vavjAaUXjNW?pNa zq~ry&+T*txo8@8D(aSWB5I>o0L7nfs0Y1x)VW7I#tzK-7tqfI1b$fwcOrM8Req8-%{sgNA&N6b85w_0XNP$B|G z42OX(L{mfUqTOs!XZ5I_UZ8^b7_!sDpacdk9A8yASm-oP3neD&DCs9H`Pg>a3 z_q8ZYDG)UWXK2`%>-g_)?!k z(PKW$HLSvyh?sOBW zN-G*P7B0|o(~Xe}?YmwGc17$xGwkqoIc(rVVEVDYhCe_CC`sW*?#J1B9neaNf1)K% z*lK)ic3%Y|YgB1JH%qy>Cs8{^Yi57ZEvwbJy>)5YdyM+Qm4hx%)7V0*KGAtA)3_Kj z@$W~@pmZ;_o1k76<8M5t%g6aZdI{32X5xFJVv8s!6#VW1?vExs#EwUasn$pi9KOGV z2PRX=`%o=CUe!*q(*xz{L;$>H{vnZwt5(wkm1oK^pV{2IdQZN{NjBn%>p;JN+O7cb zGt_j{Mnz&N(9+K=z%ssox34pY`jOIY-J#Jpo^VrdBZRMAouVIPt${f#xr9a30SL2u zekUzT3z}iXF);r{9-ZuM(N-!!LOMHS0Iy`0dg%ijz0S+Bz;gzK^QWp$v*m`I;LcWn zm_(fmmYd__fc7Q?X-pifM{e)ZA_B6*V&ya+GRowE7QX=tK9-UgX|jNS*lBYdWP+iu zho4ZBlw(};hi;&|W@4QGnti4kmLmot?Mpxg+|wEV{az5*H$=r@Vd9Xsvb(+jOo5h1 z`EJ((q93ie-c6>-xoByVuVKyWfFJnv#cw8tv0y3^u-QyT}`oc5>uiA$jS9b zh&QPF#$ioZ^J+mhesp8V#vdPXj1uNcL5(vFR=eHSp_je5BD^#m>fQ1+W{w z>qyNE-R6$&>-UfTsiymJx_84_R;jW>slUp1)Hz3v^Y)p0fhqOz`Rwo>u=HpKXVi3A z+-I?^ViyCgmcTAakxozFX%aLM8&h>+Q<$_~;8X|>%zhXM&8egM(b=`a%<#%V9fw(U zxsm3v@-SZ5jwY9#mQL$?4+gg}Z8;R9vy6rX8l*>)ikjSS&ZZx_YHr*F_&*fJ&8e@V z*K{oUR~ec5;Dj(Yx<24xaw~2BVLwMU3+>Zx!?l483RwDOfc+~YcbP`b87I>CdTu_mM{4=$4zOYy5>8dS2a(sOI){C5lDeqvOa^gKn+CVjc=Ob&iXhA{ zSs?>p$io9rP@HzO-J+g6^z9SjDA)R@o-=CNmJUOw7|>#%&x<|g_TX$q{8PU-{|o+6 z7UyPWsG!*3J>%}dupI*zc0+t_CDua>s1KxaRf}!d<>28@BzK$xFGpGrG19QWMU&98 z1MFjS zBv9i%V#TTYAwLeNDDBdbeS)(aCLWOC)!I+;W~kV$+FxZ_A=^YWFO9Hg9;stuvMmJE zOw&OS(2WUQ=BdJ7HmrGK)NRn)wy|^#TRmk?tJxlhT0XS>_BF~id(^U+vipNE%x-gD z;CANyJ@>c))2Pcy)gTh!-4%H9P7ga}mkg^2GF{&u?^n1(TCWtqe{mefUvnNxZp)}m zKWxQMu_L?Z0+brsca`y!#xk?$#t#d;7QNX&cm+JN24p%>VV}j4gkAsRv5jc|O}{v( z`L!rlB*zZoAIkJK)DTmZ+b%Fy^t2NkjJv%SME*rIL7a{v`VZxS9)Qs0nW8Pw6@_9hwo=;ADPVT zx?E1MEIm;P;CpT9)`Et11yLV$blk09E$hI3Z7*B_3J2bDO@~a&=*-CrGd{N#v^H1=^@=_?(LO?ko-F4CYITs_ zy;EQd6y9H_s+DBe)17EbC)hVSY=dlHid{WWnW=Q(SAh&Phh;u(H-3 zRZu^ALykGK0oWb$HfNxC_ttMw_gR|*F2jH%0_l~0TGE?#_Zi6g3P89r+Ta}$wd%a< zkR-Z<7Z}!w{S4kq!+i=o5D39otP*g$kG3d`-WT1=LMhMpO?i~&$G17J)}~^Pyj2)k zoq2+TS~;}`;qkOli~!ihOTstgvWmS za-+UYNjru)tyHY57o2B!9kV{90OGAlOQCo*FYI~vB0--G0lYfe;@;*eXGW?H@4*_;NL zhypPQ<%?h}_DrB{UMTY#>nT{69jz>r>~vlBHnf)+dgP0RxVC4n+YBNeD5(mFc>!Q0 zcoG%ED~dm!fE#is34M0sY|V%GQ`TCpIg~#hd`~?rF{fgith@n2GR;9)P6Wo6ai7?i ztd=PW78!~dN16(j183BYTm-D4mHUR9(XB>zO!TOT#KFd$7N0EGODUyluV9G^RzB&7 z7me0-Ocgn_sY#W#7I>~YV6f8f*f8yT}{inwy#D znk`oWVKGu^Y4N&0MLjs+nArZ!1fyoC@dH~9-wXO%YD0yHCcrh$PH#Ze3C&*nUP-ES zEtQr6f-DOAz(gcJF}WG#(4z;q2Tyj$I}z@+DACtUMuiChlyT5~bkzaV zQ8Gn8ngs?f?@WD=KA{FuQaB+AxV@_jVC(#}I~Wtod$ub7?b2dlThXC#p$ycgGd9Ke zXVn{Pu&VH(G|BbCc#N@b7=!{0I`u~QVy9eh2W`$krpND7x=B>fY^c#tU)bn!4}Ni1 zXn@s=gVd=a_^t}F93w2vAuAEk3m%F%2n-qkQxGN+tGN3YiH*_2q$=p=tO(!9-c!ui z+@VaS@x-yiGL58wA9g>xcE(*ulv=s1Z4DDp$rA|m9@nsd_jGM zD6Lki=1gV-;nCA@L9Asw!})1c=|EO8ILY%~NrUWja^@H;m|czhZCNi^M>~+$MBT*W z?YAN>c@z%Cx8>etwkNU*IG~^Dicpu`-Hz z9OU{Rlm7$i<0$^R1Zv-Q&&PYP8%d$c1&Yz#+a{>lSlheOD<6Xz3Uhkc8)(_>0+n@x z2?>J?+$DB+9d@%aI&sCfVB(MZyCXm|A%@4TF;Qc^`Wr#f~JrNx;7*1RR8?td$S?Uq_^Kx z8ic2K&(@K`9f>H(v^Wcyr;#+Qx24Ltj0^&QM&F+>^j-8dY5ONF{wf{#O#+$e2K;-L zdF`3M=6miKXE?5vw`(wcj7r<1R)Cim$S~d>E9i!(PZ_l_5HF1*8_+K=rFhUmjt06B zVnEY+UjE4?Onxr~Zco?{(Al2TRFKVcAAx4stpy--wR)K+Z5S)j^a}u6>hQyU3p^_KQ7+b^^m9Xu zKez=}xyH5VhirUirW+T1a}=VM<{ZCKU5vj;Jyw?98$ofVKswCvZ=WauOyBElSs!I@ zf^Y@6goDn3#NVVz^~41$-EpyZ$OT0@xk|&^Nm4eY(D`2NZjTSa+M31)DkYymWNtTb zh^11`A-fmUQooH3LcJ}wxZYf ziyRw%AdU0B!#&VsW%!^65mkB)7=AmD=Pl5|OiW2;>gN%zg*IGl(K=_IBuvmah_FL7 zE#ayE*=NXN@ypP&(K#VVXG49e!rY{g8Gzm*0p(U5$;*b00o7g3HMS=z0I!l(yamhp z6VC9Nj!Uu!8t%s9;ERHHaT%*EY7p zi+(PY{-{#mn^M12X-wc`8W^ZUXO$H;S zc^?M&cv2K(b(V|)ucPAHlA=uH!A+DkPVnBrN@@KKE};DpT*JD2G0n@%E^%mCieJJ7 zU9aZvGdToaQ$5Y1S4|{Mk*JW!O%&bh!T+74^+D6h#OCe_S>x**HLPa5WI!_8_DOZA z#bPv>Vx)O^@=@4j$D~Src&8gHhoTwQ2NIP>ZHlc6-aa-xPWe3DWK%HjwJOs%wToDL zHoA!u9DDReipz?e9=;s`ZhO_V=nbj2W(8(=I%oDP6F*W~H+y*Bh(o_w|CM!HAs7cZ zA)!--H`5ib>1Al5mLS_QNkP~nu&5t<+HzGa=}H6V@$;4o`u~-B_GFB!721uxZVZ>= zMsr`l-m*xLAtc=QY&E0*ev-x@g2t}{JZ*Er@}L|xp0L@pvdBK#pLSCBv?kGE0mPcM zhv%qkVDB(IgFqlEs$e?CBy+s|-p?VZ%KR-VQ&yf|^8_6j*PEk#L*j2q4(D`0xrYDt z(Z&}Qh;5(nC%@BSClBXk!CmNn=tH<(8wJ&^B(Uw%dY_wZ8g%Hb7n|L27pvY6=0_SfKT@Fu zf>?`sz?f{-pF$!E-iGveFasQmH(V@oW#sJ-_QX>3>Bcu4y6`1kS&1?vK!W`UvuI!%g0*eUR< z?(D}8Bw+3QNMr0u!B^%@am{IZsB#&!gsm`Vb13LgQ4htW>dNztG0ugwecqk}mY3B< zIGoR^dSxbQX~~6oNjS93|ATw^G#=D09@nQrxf(}*LeRD7Y`^*b*Gh*YX)lAUlin19 zPU^rUDM5{K;<|``fdruR&!(^;kcT^5!9FcI`DY*XDIRp~hHd)e%*nc~l_~GzAa`}I zw3PV!BAQ%=xn>9MTMuo`*i(p#|R?jKIID@}&|US>yd*KHyvW-`sD%$l3cPtSA< z^s3>o72d4H|jR^1mjW4&UdYiFplRrzh>dwDxnERvyoe@&&L*lEFC! z_rXiC^$7?SHE$c?nvNp&&WiooK3M=H;^bNttWOj``uQI0a`Z0kPIUe`^6b1pVAkdf z-bld-)VUOcBoO?=HzSC-De~M2)1M$|7$hhiULAYs3PO>D z4d_qt9>u!)1{)50cR*mgR>x>>FrS2L(@>ZYYms-^wCH-v(+zH;0C!oed#ETC%C$MM zcFr=_uhJ@K;0DW%*HJZ^Xm=k#Bmeq#Z4-mwv+>ie1V)lRXg8+%lQ&q__pOk2Qc%-A zLi8Mp0HVFeNuzWv5a(cS-{ACmkuUT$%Nx;>X`-Xdc+@vbjkALiFok1>r#*4hgP|>B zZE&&(8EMt>OcN}NMf)`j;(Y_1EHeSrQM*?tRZht)?J5|gJ&nQ8t!w+laV81h`|@85 zNcICF@QzOIY)=kq$6~8#BFLfPfQM-SxL!-xbJFxA?;$b{8*dO1mHPjc{zzP6zgmZC zFuY+4tTeIzM#Z@)UO_^w1~W7`Adx_66bP1sdeY}{kQ%_OFsolc?nKv`mCbb94O1M7 zGgYvF7uk~J?#=c$JWcnS9cs&pFi{>>f2}ajt0~@Z1DVO@6#R^VW{|qux*D|Uf_w(=G2q5riAgnOcc}|}b!tdYPhQIpLm__K!mFg3Rz1A4lr(w6} zC`NwOu9=q8!DY$c@4QgYxxUcvpFzEkow+)|MFU!htd-mim(q@-Zsk%!Xd>t*#4QxW z4EXIe<0g9jzjW=vV6yydtgA(}0)XYU$GiK-Mtd}~{Dgpd(_}zU0%kfa>ska(T;}wo=mCzNXdWcQ8jr@?X)_E*}D-(*uYDdtWT@V8iuOPE)akkt2$ z70FEjV3(^OP69)RWLmL65f$vH?Y5}T=Y1=ko*L$7PT67a1Ku4z7ot{V5YQ{-=um*U zo+BzDk@apxrV*_heSGsJ^z`dRCixdcmx}~xORoq!0s8f^f0Y^dP=7pq@io&_bmpJp z;Q(b-?pp`TXW&-2-r(LvNzF_!g`=G4yNbLfKR1U4C2Jb%bhnSIl7ifHy_S|vGINe;zGxR;7{ZzZHq-A{(eIl>hc%&^G;d}4+&Y;izOe3+6`(vA1Dj|dWE z*ztj&oZfZol4pY3(03+MQRi9}&2IXHUx4*MlP|DaCTa|cx^KUCORgJ_#)aKKxr%y* z@)*@OKmpzj8c*%+lkZ)*!4W4zsG+?kRff+On`PQs$V+xGS=BX>NQx^>^o=|<9OQ=C z9)bee-=9&~)MBOecR9r_eXpSQuv1f9t$!LA>D5?Qa)%OCgFe*+O1G1cpS`NAr<@u{ zp1FH;xLwoP`1Prv@v2REIt&9F;aarY&P|Ii*suooPw8f$I`;m0JF{>=zWc4?5BZKv zKuXbx&e{;a%M~~@y4SYj>eOVw*i9Ldim+9i?9j>1==N)gz0}^tZsv78L@P}2k|~}} z!_grZW|AYCNf~F8s-uMlG$0M#115_!*0k$&6HhB~kiF*vGy5tD%DSBmqhKijIg!*i zn+F@_Z z?C9Up{DFeEQC!ok#a^_c_l3yk%>AG?xGz9j7eo?Wy{sTQhY;oPQ5Cf2ZJ)xSae|o- zs9fk!!5E(soRrDn1I%pPSdl~@@AN4Srenwb)*8Ttd*)RN5R3ykubE216N8>|Ev+M6 zOV_~Cm3Hj2IQ~J#Ugd&MF-V=d7>GU_lTnw?oGF&kFYU;F4G#U(PH@=(xIX1?7pEK( zz0&vIp}}OH>rG^LBxiHF0qkENp4Bv)v%8=zkBQ3HwiYX!wFq&L&fLiHnyM`uOV?#0 zQ-59$IoP@rWdUkb=d#z_*)^4jp}s4fUA&HkK%G+CRGFsPSy^T#v!T;=34doiM;f#> z2VwQo7jX7zr|7fQuzP|dsP;&HDl~W6PFjnEjiNuKU!EOt3+S|t&tga@I1q{zx;W=)M4O~()9zR zf%KMfcV20(=}a8jbEG6#7eXM9i-CfSi%D}qNj>42rYR{CUr>SomJkO)=ITAZiNCI( zuC$V-XK8DW7z<|=40D917Qfq`K8>0f3d?y#bPnh}*lLgUD%Du68sB>QW8|WsFMNUp z$~qNA90BtXx^On+trRjP4AD4TWHf%9<;GGV_1|5tBl8Xl`|H|3ZwI3d8J1F@!f&+o zaahjwMhqBzsnW28Ck0WbJz7v-0__3%{!~XCgX#^l4;Y~VJaBn4$9LbHKTO7a2ICwq%ad{ya18b135(@G}ikL*qvJ7=ahlfVi5JYqdn{E zBRa%xsK)^mbr}6=&?ICp;g^(d%dI=TKnjF+-cl3qW4Uss%@|GMUz(lVnPw zWtEwBEy~a`!pQ5_e&CstH9}#_|D`FHY|t(0R2*Es&>Phcg4uf$m5jo&VE8Um|L^il zYFnC&Jgna9*FRX3fhYP1>ue>S&*c#XO=P2p_0Jdl$Xz! zf&h2rnt!khGkhIaBrq*2#dS`Jr@!Lg5di_L<2nLX5)_@bPCray5MMO!ANs@g#DAq5 z0;QnX&s16SI>)_Jfe}dYqX|LnGeLuC^X52+$u8snK2Ng!blRSuYR{o*yVY5b7B0Xj z+UfO`&i7sE5t8o>&O49 zU~jU5J-*E_ZoKiD!Z_o(hL_Lvunz;*%K+&LWZR>4%Jq*M{!Fg*B#p!W9MsLG%@mVSw$4B?$}z}#hyh@#~+EA}dmvl6wE zsSe96NFaf`iaKA==+5qX8*Euo^{DXu3`M(P$(2E3q|XK;k*hU|Q2`wyfCg!Kb#Kq2 z#hyA;1GqiF4cCw5ySmw?*F@) zp=aIZ7v~;A1oBDEGkbC#i232GWAcuDZz+1gxj~5BR=gEemL@;Ad>fSPKu5ouM$VDk z@d`d^SS`sQ%MkAmkVrM3{ZYD-snX1^GEKevN&XQ&sgQMmaexr$2=X1j2g07Np(BOT#0R4y|1E`@q&3Y!1nEwA_@tE~V1k zONQ{E45rH&oxKs9;-mw0n@9a{5Qytt{97KH_>!gA!a4@tIMc$?7Rl7viPQKNkdJ}r zOxNu&Bgsi^ppwTBY@lx-H0T`BV6n%gY84b?v=Gz@Lw!0TK6vVc4AHO#sR%X}d*+pB z>;R42ySKAZvB|zb^sTB4)Y%8>IAma*P;*PMR@92}c)G)4(CIfww*QEkp96K`ST{fd zGD}(s=AdmbGB7`qCkZWnR=Ba0&2JU{-3K0FM`IDDq!l;% znT)zU@91(bsWK-tuer?$tR+*u7k1$Q@m{N1cW7V9L3HA2yeOw38$>p@CYj19sY#jr z%;V35ntP13+}XfTO@e;?D?4%WgyclcRl=J&iosB`UmUQp2mj2gxz*<3RtE}F>1hWb zy=x9k9;?&i0<8qUKO64}q&UFt_oJvxBe23b;mjYYC&2LEb}1VI7!YgJBObcol$*)d<3M4YnykSJLMYPOCa zI;p<>Qw6L{i9!7VO$!&c>W%~aI>-4=7q<1enT9-)>jzZ$1D=_{Ub;-wQMGXxzanqJ z6UeAh_~{vLld;18v2OZYaLh}df1QnWkE;q=J&bLv%J%bG2yV+d_?DmO_^e2qcePHp z!AigMGn@?e=%77Yw-RFtZc1bN9{jgFz$@xko(Gj@t{yqW>G86u%iMSNG7V%ay0#4` zIJ|RPv!eM^oKIFW|jhupsOn1lLL+g)O@LeOq`X{9k}*hXL_^ z0c$hYxPd$-0p1lx(4RiW9IvdLx8b6q$$F~eRSx7%%nn+SG|gy0999I5H|X1PrN+cz zNid4{wKnPh+qjhV^%i4b7?SYG-?#0_bF{f7p;DI)GuhKAQlh9Zo1#XmzOvNpu%*-N zFoYG12Y%&-4;S^bMGsLLt9FVlKh&|hNUxq5?JunWAxZmSN7bEWQkzz7g`puRAx_goyZeXKX{MRq@L*BllkeWS<3mO?gJ7uA!572vw)Kz4W(D zXRg==39_49=&()Z2v$e|_jF|y4u4@NPVoLROabg{j4_if?9RFS8E+r4J+q|Sjdu^x zcMH^#q1x+(8B=n6apOF@3xfXWRcTUUvOv+cjfS;3{M*OsIG63xe>Y{??gPHk?xD#P z7aRLF+h9OK1x~8VbYD6N7|BYI(mMB)?4D2K;5CeXgz0XyyHmZs$dd-}KOJmy)n|V$ zQsd1X{BQsI-d5700em3dCRm~xuwU7 zC%$d}h~n_GPf`+LD`~D`tuPdv2om6W~4x4VC7?ZrX5Gt9gN_^q)0o0_~{Ft^A zME47vZyFc-qs}!X=zGo53eF!ecL4#Ycuk!g)fpGx_DgDM4V?2%yvfYrVKInPTfNP7 zllI?OJGa8MT#@ zmNFt}a7*c^k~l%sTsY<;V#-cIzPP0~{QEvvqBuH0F$S=(v(WLjp#=X1jhrrLlw0y#Tw9or}`n34? zRvmly8olPo;~(N6#u{@y+H*d-x<^c=QQt~8U``E9sJhIga$UMcuok#r;17n|)@pSC zLO=nEq$F2qKthJY#9|JG{FCi10qL(Dt6WPTineJ++?$V}yFrsbGlcorYPFkOG#_b! z0}ibP8)$?@r`VHPY2SS`zR1H~Q^93E65L(3EOySWe9J#2ONdNr2ZfJ+2;;yO5LwbE zV(dWJU2sFD5atkn8U=Z#9|7P0!-R%EOx(Mj?&*$6+85i&Rcl!XN1o_LtDx6PLwh4! zsFm&+wQ!&<1A~mezW8{74!v#GSiP%DCVVE+8i8OA=aIJwxhjax6!x+7e*lfmn6@h- z!zH6yv|DBcgsVG@9>xPD}gM9@2iTVr+R*hKWLi*pv>0g~lGAK$dKhhpoyE{kiuo+O=_( zsml}lLc*ex)%oDg;?`Jw1DZBHeSs#kH<9t`g>{2m$a3-wEx5TbA|4ucq|S_6q6eCT zYLr=Ls`0k#LWnmv9MW_#>fVJua}XrJN=+vEHssl-D-u%O<2~yDcOII+2{K=%?Siz^ z7`%&DcDPuQ6bJM?qg1UD$41wSx_6!f zcOE>VR&u$Z?16B1{YVjn0v*3Djq`NqHZ#_!Z3U(UD#$Kh>?F1c-$H00yU%dvS)wA` z!Aa1Z9E^0yR_>amee?EprgVj7&o2b0{(>Tz9cYk28tDE^YKqoWsb+dF-Iw?f0I96y zykFY|%&dIzz8S%PD3K%&+?#O^rvKajyRsR@Ew%cx)7r#D25(=QRu{BB`XVdr$~9R4 zjaDq4gN~m^K#x?1Ar8fMb3pHXfOO?uW)X<8RCHA9ro;jJP-kNosmW6OYjl?idaKJL z-hIYNY>!SJ;9CChsJ9;%>Jg8a%;PP$Ld@eJtkKba9;buY`v07izYTXo@NXN;BzwBu zwNl=YNF5bf$@!5+pq#2dnbyt^JQ{_E9jODz0jQrX-|JugO+owUUb4ywwYC}+#_zQ| z(>+)o96o;E-hwo`O1xqJQEN8f_U-+>w4k=bTm6tAlzUP0?ioUA(iO*$I$LVMsG#qF z?I;*MbJ#7Xuue2`B>Nw&Fl+X&K?FwCQ4DFJG*$2i_A3T{Akn}5T6D1=;v|GrH+}Z z%E|F2y&i))0tVk34|wH8oAF%&c+{&z$%^8`%Qy%pk33C$2F!F+_lIoLLPEyD7&uJqm66St+LIdW7|N2z&)~?2c|GEZ-<`o9-N&CpaMo8Z)^j`&b8@( zicV9waW^z9_}~diAiJUK_rBfyqcg0`O?OJ(+a=@7dbtTftzP4-@Z@1JWw52O8ffN= z_>mJSAm>r@8gQGS`+-~e2$FJET?%W==mJxX&h3((LHhj<2#1qfsW0-oR#1X7r>`#P z|yhV4Syg2lz39yeng3SpAbnkpbKt&I=mR0=7M2c4{3|%c) zVFqKahJY3Y``l_e%xk~r{iA$wh5%5=qsv0}WuYT!N`dp940#!{(`4U{<)pt#fiG)x z(KqHVS%D=l&gi)uw!+T|%P<+FL^&P$0&c0F)1MD2w{Xos-w53;GZAE9*ZaGTmWULJNK^xhLGX6eV~qzF-iF2 z>?Fw8S=e^tqW?dTD=%jGML&kVqF(J%j(hk1a?Wg-7Z8mIRqao3z%ou=BAt! z@q!6^KmP}%34P8RCNS=pRNU@H`nXT38)|0nIMBnL}e;c7)dXAG$wm6`h?v+vFM zLjushmG&Tf+UDYgK&hVVi#ERu^BO_nBLlF5k9mq%fCRY~cBDhk>TdFQCJCNg%Nr5{ zF_kyfEl76id`fb6fK?|&Ka3e(G^)~IehI2bkN=UY z_y2Id6N}*STLcHXw+Vk|ondu6u>03f;m6%f_uBUCH`|Yz(ga}Kj0z97V|z_EuzP4- z>W>Lz`c{&%6E)sDG-~SKaj@rf96%2v%k$51-np~quN#|;6IIBY$xHvzVF4t?p{`g9 zU!5F0tWQDyi;{L6q|uo7bBw6WX-3m>tA`iYJ=lRZR2nkY40gU=_s`9SBskve>$cfG zaL7#@0C~HlUkbRGe+r2Yf4i-OCdrZ3`!)K2sK#^GSVjdJi(=t|?fr?exdQF)-Q9rg zANS1IVcA+(@us7lT=GniD=!pe;F3jUqx$%XGCJyDX5W_*sl7vB!P_n?K`s$+Niqmr z_K{ejf3P7#oPP{Z8wmM*_W`3H?Iv@(x$;X0;sjtdUW`*3L0fKt=z^f5VIim-wJ%}v z-ar{|J6B)`CeOVriNk;`CET;&7hvsND-Q5lPHMmJWOco!hrY;UodLT|t)&O+{|5|Q zvsYXMC6#EvNB_zU;$54A7!ci7YerQz=~kqfR}b|yYf<+8V6Wd+YL`dkX5Pe(=e#x*MHN6)L25$MWAr789 zi21_O6H)9;t|owXGg3?HF0iPu#4lAT+E6Y=+m263dM;*UefSV$77&Ao2he#Z+;Pns zydHK<TV^Rbjip9|qI8p4apD)WuA?6A zXrpHfMeD^-GW89qQ_&1daxGQw+EzAhUIVdD(bIRaSUJ_B6qdf~;ZR@?Q*e<-qiZr?u$a^^*|kS6S@290s|nf=*G95LW}yy69cOV*<)S%i#v zT-)E$Yg|L)$Yk+8C0X(PojnPApSU{3)txG`C;#ym_>ZL;4Vf}|-2j=#(E7nfU@}#R z#VyfayDdE&HjlU%QM6E)oLBc@TUzawSF7y_Fbgjkz-hHf?6kXYNfZ4w1^Phn1G;xM zxH114o1iDP+bIAu;Upr5Hmx8o7bcdzU&d_(i-S=&-VQy>->0ky#zC6z)(^!VzR2aw z`h#=Pj`*XbA1xz%;F;*JgCE)X!feTF7Pzh21_|a2lp`%c9~gPNZS7boH^jZxc$*bd zDMQQ=lsSXqmnr`DNoQ_g$yG)dJxaN0n>}0@cq`U`=q}t?u|1Ooa*r{X+aPE*bNBCS zS8I1#0HY_WH?Dzg>W$Z~`){|ggZ&KR={|z88R`Kr_7nIt-=#0D9|BFCX1ph=t1?xy z^<2;;9ej!!YkeMY%)t8%5vIbe#5A(30L57NzN0+bf@ z0qD_N!7G5Efnr=TBFM2ou?ETl9QB$&z57%5+Zmv{pxcczdOM${2O!Y$qJ#YgkAq=f zzlWV_0HqHCtVL6;?OGY|39l@?#2FEzE6m#!02V$Ze*jeb1&0tFMxIZDLXB-IByiB| z?c@i13bI7F@3O$dz>=0eSkWI_jDtG$XfH5~io=q5K_Lw;$+LUvizBagao0H$ZZtU! zl=W+ULwnSp1-#})xLFCHNJvK|9I1y$Ar+E8bKeS96|fhjhG{U0Zj00LPSlN%o9?ws z?^8Iv_x=2gi!x-eW8Q2X-BFl0<5@0d8pY%EfT9gui}G1-0cNH8=cjQjD$kzUNmQZ| zK{Mn30eHISxn){4+oH4>+6%@=zNlYT=X?z?Kgn>-`t-Gxqu+aY8+MQ+w2u z+HcsEZc;5;{sbdv0=uwmQl~lIt4D9^IX`=QJle?OeGd-u)~hWTFB5ew;Xfh%kD_xA zWP1Pq_-7l27;2q{xjPt=%gTl^gqizRamp#8!jU%jrppjY%)PXR$!1h4rH~Z44CQjh zDY>QGlBisgQorB(`)_}g?6ddh^?p5{&&TtMAZr_?4HF1n0rNw?t`w1xQeLUc9=iv@ zkK^&sO4^3o5$cI5u$}B>J1aFiMQ@^-!(iKHq;tFrhaBul5pJse^$`&wRd4_p&PFaX zy;_6oC08r3>q5{@0PWR()HaY%IbFxIhL@>2%8A-l!`D(g^z5%`SLzRp3u-w-kyatYgIo4>v%+(OEr&Q_bY9mhXykSXm+G z?d#qXa*%faD#QH`09nS|{^%P~w65XMfPaSS^u7=RG>3-iM5?5wF!MZ&wXSMBa79?= z4bkiqj`_gZ()tZYiRj)k6YQ_SUOQ}qp@_9ZS~ba{7qTS1EH>1uF@o+$Ymdl{u8Q)} zlL}wSoysbpfH8_f#O}+I8DI3;Kf(My0RQqLbs&>0GuTHK-IM1}5f(jvew4#Vf&BTU zdn}1GP=}T9-^lmbHh#-p-nR0PoF4Lfc&h0kZVcj{yo*%hCkqK1+ER_EXC2!A()29K zio*XC_w$J#Z27$`%8@UnYKVMD`a)SnH^ZVDD)aYLFL^8? z%=D;Pw)Ef48~ED^k8I^*OXwQ7i#tp~Bu=)x9!IjFw{F-w_A$c9s(l1Zi=bd>s+=#Q z4AW;QEqH_Q#%8rez5H0JPw~kOu%9v7S@4<^cFgqGxgaZ_KuqyEJYPFa4qJ;IfRYbd zJ-&jK&FmudZ{J#NJWNvb;yqP@fH&lysu2blPq$Ozq$Y>>XZZ0N^BAP|IvRQos${cf z^U2IQ10fw1V;5kI>$ zWlIGT^y=~V70k}EhB9U3G$Doyv?&iNH<(V95qR-W)==T{u6MsZG>ausdfIE(bl-1}j{2VwS$k7ZN?UE^OC;rKr z19IoDe*x`**f%_|DBk(m!xqm}DJ|4Lm*|wJePRKma_{L&FTN@%X$L~4!PYZv{P#sI z8a4n&MH&ArDXViowOm?KUCxr3Miq;4(VcFpT^*3_mB^_APTlp3L5`z&DPd#(K9blB z-Pj$EGmv4akQG9KBBDUgRl(?g9pUXT>zYEKC2!=R4ZyQW$&g}6PM^vuSogBuuWKrb zIOC?WxmiF~(12l6U-2%dBdaQ-VnKAFO(MvGGvrh&5oHP28xy-`(-GfK6W-??c~N#{ ztf5ulc*!G`2gKa3`PSzQc-m1IVrM zzJ0Ky@ZQ#`C7v%~oRi=SH_@!C>F;a)k?E8~TW-(?FVBQ1*dbBGwi!~m{<{YByE+bd zzoRVW7AP<7DX7t+F;hbPnKtkngtCjkPpC7p|7!Vy_fa=e_=R+@N;X>K*Z0bN#K}{S z!5Av&s}oNm}U*Y8;wcXTk1Twi+jR;4r^597IwZPBiNV=MEG@` zoyr;(y{5-JEbM3vdPvZkgJCrNpdXobFr->;3Bll>sN@`k;3?J#H=Opno%uHfDdAd* zN7(3N0U^oMm+rHd$J;;n%)m83?yHLzaUo!l1B zN7nWLgn{^~7+;eQ%c;a||6&8St)kxLIqtqb3aL6(wL<@YTsET6B)OLmlqJannjw2} z!MiIsZNaagfGPqjxTcF6;f!#G{hGlKsI?m_4`TE~60mSf(Ec~~38${b9GWX2+hy*5 zfy1{TqlTbKat!zHv8_mSXl!tPI6IfJNI^c5a!KwbqNSw1awD%hrHSbtn?dnlBhp@b zc&c1v?Q8QRGwj{vB*`6Tl_4&4<#jM*-lHUlY`zc2TmM_^$@c-6&amjzlpEYG`4zW`jSZ!@MIA1NvsE>h?Snh)9J+JfgBoq^ zMPi5IN;JrIozgx#;bmu8{O#o2tK6;LKJe?R>K?xETv_9avV;2(4CKAa>Jh7~X~|SR zKUnGY)h@dMSm_(lBbd|*H;C979#9lK25NsC zCt9+Sdu?sg$*sa8Plq?dY#m9b!A9r#J<@#l%Y7M3Sv2uL4wVd{&Q?L3u;?8kCRzW2 z)CQ>(U5&KC6i|^#(*)fLT3dCC4V8i^Ba0`uwzoI_&XiNQHUT=l#}*6 zt2F#rj-`&CVS}isD`ccd6S7#z5)Fn`=^z|6TFJRq zeKQRea00l$rY$s_<16?&pvPWaKub;bNPc1+$c2~{qR3_*E|_6>S=$3`6l3fxrUvpp|qI3$m0!$ zVoTZxcE0d!%Qus$sJ|M-7_=tFLIc;5uD^ug%dq#loF!HkjJ^^&{o(EEs<_w9TU-N~ z1X)&ptlMRqQ7+7ozMeprTtJ#S{sgluky^ohZLWMYbKd;90^`!_M8*Z6Tsa8t204n}a=;JR8W|lA9 z$=kX#`LUmYlLaCrJor7NekaWEWgp7+JsZ8*BfIEjEPsXMWutx2PE#;A?TdjD;cSzf z!mVyH!nk$_RmpWFza1$R#{{zgfhd%mm)dDFST-9)KsL+6+*&s>sEOh$h&Vqm3~o1) z!+y;S-Ft#TtWGFt>_qJIs}vjZ`N*OdpO;v4`(wpdFrwvh4~A8;hm``*gCV`NqWx~_ z;a2I#PCa(fCR{_u5_)a?u9cBsEqoAe<}`qZJN?b~!NQ{8yw{nr4R3idWLX*5xa4Bz z>z$(yZOENEVn3>QU6wrG%9rub3EH9*?CF1Wj?sx*ayBj)h@oyftwSULHq{^U)GT~oDL=61Av%9eqIa>x>u^pz}Ci@$f}{8hALQ^}J_`cgnoFZS#X;coXVh|mRG+&zLw zsM7~;Q+TYahpQ5^ggbtmpkNMIEPH)1MI;st@_5&0u^!`8Zr+`og|e^veIQWhqtUe(%`a!O zA)7x&&Yj!G^@WuoiVV0td;gjDaaj`0lcgn}Foz5C-h~kC24{3y$6F6i)Rp_><;r;Z zs&qQAn%Cn*fv$g?DpbkT(=w2lPp(y)*+&aBd1_FMRuLFA4(twYq^O_nFlxQXwj}p& z*ANI~)LCD9b>vJ`^)Q6px(?kd@tCS<^i+ei#{XTMviA|2##fI$*_$3OkEkCyp5T3f|Dw0jPHAwRzCpmSSjoTxh;?DXAt zrO#IQr3cwaYKr+@j9(``ria(aq<3`Q7v;W7s=&VHM zTp=W?##B-Sl{1^wZ@Smk1rttckmZ?&P|TPwk4j$UW7%z~WZO%6HIV+b5V4f+lNC2_ zccEr;6-+css79O&REx;2>8H9KGu#6F;op~=V)|1rCl=Nrx05}aJQl9fk&iB$k|o9l2_d9s6b1bNgAKM-wc4JO@j z2Q{XanT69mLa&)CvmazDu zs(b}Gf5?a^Bvs|iAxguv0rlWCji_O)eJ+NZNFd{K*3*GUB*RR^ulQb^AedC(kcEa! z0L((nxUw>tk$aN3Cqk0RT4pg|s3N(3Gbyd(-CA7z?4^N_X%!WD%kzK~e-QE?yL|{t zqgwJgR+LYFkry~aSY;~;(rx*Uv@3{n0gIL-f3y>^O zgF%JhrXdyZ+w!zPb!T!Xjv@_CpnUoZZPbS7(H`j|?UHRdtT049HE3&yyoLAJD6B;z zTYKZaQUr=jm}M)M%$1IZ2TViFRUi*Kyg+GC>i7IgLFC#WCWRetSQGMcTjWuDWU#() zWkWit(bB^&ZE2lyQgJfeg<6IskqD(DhTTWe0i*H4~3n9p0V(5#iW6{s7|Z4NIDnxY8UaP|?{k z0H~R*JH_PF<*ul+;S1>}G{0AxYaWMfkUJogqLZRZBiW>AX)EYU(mwtdkPu*asFc=W z=MWE3=M#}a6G&@@$cO!PiTK0!0zvP4K{Gzx4Z^1O;o7AP?PO*_gzfhiEoU!d=dC`h zbJRvs*ZbT%^wQ=Ju%31Ytr9EgL-r4Kr%q{DP}oGx3SgLGYyd88XIF4(1m&u{`xN&d zGfOur^q1UdL!2FLyYUW^i@_&YX-Y{+E9dkgxzoV&CeW?8v;)qi88xmu)=&5M?iD4S zunUo4O9kC`5Jg}fL4KUm!Vwk1G*?$OrXS9RZt+sR3NTx==+wroR7|GX4oQRDeoR0J*^S&uZkNeMN|C5+J$3Fu% zK)$9?B_F)6k6Sk4jp5IBQp>%bhF@U7zW{foG{xITkL%i<7Wyf%gT(lHvpz6R;Px5_u8g`ok&4TnZ-9Cpal5&kK})=mxB%U9!-P?m<{Uu)^yR` zoU~;&y<($J0%=cS-^th9V(E8otdQU@O45mZPsETAVs&CeqqV7fw{#&B_HkRgeEky6Uim*8M8e-P4280me3zi4V4XmE= z?Pww9TUp!#TDrT0kknD#xpF(YZ&2-$Ot|EZid*CtBZ5^(B@qwpwH*C%q6UIJISyX%|8PqMq8d40qbkIrRrlHZuyJs!bD*(wvag9if@T`?vzu-3*Px_C<0rp znLrvM;qW2sFPn3KYMxJ+TKNKT@^im^Y7xj_aDl& zSRl)`UNX#ip8bKY1ZV<|h4J#17tXn+W}R68H33xVpJU(3*>Vs654zl}E#Kp6L$lqM z7R*cFBbLl2hGw?Rd`61=C{3a79+wvWo2#w|UdXlV(aQ<*PA7s7XxPw@Hsp8W&>B%;}0Z-u+h$4~m{j7@T6MO&48I@#N_0Tx4IZ*9gp zUXnv~f@%9g(+-F#J6}uG*$~Zv>&ub4jDBHd-7}g!u7hl<1m;TB*o%15G~Jy&8yeF~))z6*m2c&tHx z0#SsxDgcl;jp&&ik)PPiWaw2T#y;oGW9A2W((@8TxGQfAo0PYrE=(ZgJ2u! zfcu7D6aZ3J9!GWw*CyZiDGbtVoRj4K2;sse2QpGOKfv1GxrQObx@FSa*$Q{UkLg3r z{;wxM;k4q5i6TnSmUuzrq|s-p+zLERbCn&|)gR=;IuwyhXpdIcT$klt~yU*r`?*vc9&IIBjZuekhlkp2rg@rtc-uXlMB zp00g|nPQZspvjVeD^@UBRxD-y*&|)?%7^gV<^TdE-ay=>W|}Aru1sulia>ry};l zD*hhFZ3*SA3ZQR4>!2BO=*FW(K~Q0co;7L~L5OjB7@iVn7 zMuuCw^VOBWFGxpE>Fj+=C|ntNHWRSL$`;^l~+6csGTZ2-^+?|h2x@jwb5 z&2-Pc%-s=4LuOK4Y5?Glpw<|D8M|N3n^h*8mj^H9f4S%YP&6Hf*Z9%<7#*M+1nZKM{#QS6Rj z@xd&6=WPSvZ&BEeS#(#`q3GEUX^+U4R`N2Y^}c7YAkWD9Z|IRzR$F+UgJF)!B0Q`wH8k)^MQ=3y=bpuoO3y_W*{&W-S zmI_c6Xa(<%rW2Vo2uiS%JcS#fvl80xqrlm)B^?oQH;_KnqN;Am_S75Ow`5(+`E6Tn1G6i{F1v6s~vvhxmN7JdaYS)miEL_kHjfZ%bEyJUG`K zw9#5hl>iou3?H-Wr^2b6hJ=HBy7 zN0ppgD)0DR#DV~m^S#Lx>f7@SQUf2W*dF7a0>6b4)j&!q3meiRYmT(k=PLY z{i2a3H+``Dxe2%EqkIU3K@>0i)6V!?2qZG5_=8)57OW2=J)C%$6YFh``12Wn^GSzs(XtSi0_N?GItZLJcn6$tC*`;uIO?ui-u?#OD_h$Hm99{?;B(OG|>!xP?dM#Zb zP;`_if71~-hIi%@pp>lmo{?VhmAh0M->B@aZ1rBZLd#$x1legGR^iR4A0Db|y%8(!1?Cj>;+_=S zH4zn(ZtZ79@X*;m>e0O0O-jxkkiO@i#($Z|(8dU-6Mw5X^U3C8nIVQWq5&HXuZT}T zj8s1KU6El9mRDU@M{_J%Z~eiZ$zXQzx9G8Kl@o=|t?L!003jed_fQ8$(8J%cY>{`a zyAvJ|l%fti?jwwFkI+zBXh8uOv+UOhDk+MV9Plzn_ijYeyFVFQ2gZ=)6K9Lx?k0q0 z9zXq_y)D>j^fbMXghRly3+>zEMb&-oi=HnoS??Mr9bj*DxR$suQHsg1kN(P$t?aJL zx)nl7+w~{&^-0LU6;xzkLIEyyrhB2o)sgH|1H7v6aE7d*-QW#+iXd*@Q`^XX(-)Cr znDZD{(~5GVqJx=E2?wyghzE`JW~lHAovyXY`}eVb67tO{vuucRF8s}BceeLO)i2ll zxRCE#iw>PgEX*sUsOQ&|H%(5xfiLBdxXt_gRbWKg$hKJNWDOujrEcw-@@TP3sBCzi zQer#c>bomO!rn1Er}3EPIc7$Ph>RQ#B^}^F(U`c;WO=Rc0lP*!y)YOBe`_5T)^BQr z0c3=XG+}?Yx5@JHf-2y|^p0}V2(Nz4Eyx~%{?liAkDLw9=FMi$vdvpyD?{|?Gq0xZ zvYeN56-~?ol?i-^*#U(zM^X*G&2ygf$XnPzvMM(fln$B2EIPqqgsypt5{8f5ilk2z zU46W|S~_s54mOaJTqFljzPS)Xl^I*QMj zcH~S6P4@VQZvqqey_d5buRHuthbl_|Q??p9(-rG6FPMm-}Y&ZCy zwVC_$H-X*sloXRJ>n%eT_L2M1X(?%-5yc;*6yT9MD?@7AbFSCh$y=rNQ&p^w0eTD6 zx@8-We$fvDd$8cOkpP`Wt33P)MEw2G^c-lS(Ox92xVhM#)|ZrGaFa(tr`C)Z9j&E3 zhkn-CKey>hg>d^o9`7hyE_yDJXjr4& z0BT#HXH%w49(l?2reskWM)?9sXVi((M<5$KTnmxbYfNohxLqzQq%N)*i{MFTyN?j zgf(jO?TJ>eou{-|>wl#lf@FR+S3y0Wraq_cz4@of!bIwWn`^=``vZ0g12D5~a+LNc z3|gU11i0hf;>30JkdKO# zJ=gVl{MUkB3Zsg;Yz5F*(|a7n50%8;?hA{q3Df{qrkjJsA_yuQLJp2zehqsFb2&od zb)9{jW}~1ANHd14gmDF5%%iF6ZceAGQ9ER=X>Z81tyu7pIpbTcI^5)pKV=BrZ3@Y5 zFT-yl7NgRtV8ccNR9)t$Dp$)I@4~Iabg;CaNlGRwXzR$cO2Z6Qeyng^Xnn$`h2Nbv zH$E|pfPKR`ez%(9?S%MhBCu==_qep-K4QQTDmEhBbX9fvHczQiFf3h7wc|9gd|^DQ zt9_mBnLf&-0*EWu?&oj(#?qL%R;2_!Y*3}> zx9RNF-tpB{LHC$XVCL~XrL0V7&m0rUn-xS-HrGZeDpV}?c)s$PfzS^yU=V&F-P)-{ zb$^~>^%~P_JLBMRiW++P=R;z@5Tfd7XCRYbRkXgnGF56E!1>R%U&=RNyXz-!BUtA5 z?9?QQ@sbPaCtYy)#YAcW?_W)8=}l=!=K%B|PULv$TC~mayzcNn>{jh0t@SS%s68Ab zxHTqD!CcW`)^)x_jGjGyosk;^zyU_Wq!~~J8D-w;U;cCGW`&l~hw$30#=~>m;yI!p zuyH)^INr(RGGKyEn>c)4T3+jJhKTz!W3@YX+nb}pz3`Mi^| z-&0=jl{YuRzxH>4^imisOMWIN#)HwJ9695I-Uu*5fE6(suv$#WFx&m)X%*hTsv`~J z7q9ZZe7gCpt;5|SR69sn8rpp%j7Crh*R(XBoZ|uMyyN(0)nU=8aXxadtCnGyT_&Y- z?c}&EjeTE6EbWHQd1`JoPu?PD`tuOm=-uU*!90(W{zg~H3#Y7H(&GWxini&vtZ=`+ zoK^l=oSBxC_B5bGO=&fGH8=9jh{{$nQF}N~SF;$=<4Jx`w!e8*!mFAf@SR>@w1YQD zIMKPWQ(YQW7jY-EzpF)^X|!kvEiG)JSr*$j-r95R=W{+|` z-7goYV(pv5l`ryzXTxPY5YG0m!5+Ij(m-y;)tHDnO{#t&Hf-MQ_!eNRcBo&RL!z6R z3yy*AsojFs(Si<$*g!1L)R z0`y_;$t6Y{bv^SOc==F$oq7*V&HzHDM4`?lgIFnU1E+@+_%<5W7|0n8J~U0$1}La> z2oLS*?>l?bXgzdslJn3Yepy=nMN=Nop=6I3mdaxP{rv#5=q+zu(mY@8x{12MMkO3C zWiVcJ;w~)CRrTUjH=5KS&%70DyFoFHUcTss(Tl=iatYw2vQRfz{55%`KvlhI(A6EV zt5Ni1>ZE0896D={aviR10Dk3p>f2_elt%t!!G|zkKtV=!biIfb1X-VH#zosb_Dpjo zLy^k1w1f`b)ox**cNmP>XCtX6+4`xDZ0ObKnV951i{(Q5I*ApTC@YU5KI%N+$mmDA zp?S*k>*e=%$P~+;CYls@1up&hnBFi#oXu6Joy#N}^Flc;(yssM7QdO(N+SSsaTxTG zK{5)=iWdfQ9EIx3?%0qTNU!Ka9m*yS9kixVrBqr1YP$4F>tYFl5J2dgg!s}>L1ws? zHGW2_v@R{BpcJ6%;|JZdCOJ2Xyg#K5Nlt2K@q7^t!!biN>GAD*0x!1BVF$Z3eZeX+ zd?&}%O-O(k5O722cGJ{f39;_rP%_7MAw!%s1XUG(22A@_0N@7nA0X5hyeiR|nwcJS zw!Xq>&|VX&KS}`wF&Wx28(~KU?;H+#^YZ#5Tzy+KW4L5u=M?7xs_t&qE|#VDzD$hN zpD|4iLP*{wmvI(HG(H<1y=Qt&NFx)$vJKUe-#A$4A%~jeuZ1( zFuJ*4bcGyS=Lc<7#-G1>3VGjKX*i05Xq%Mt_?2;!6K292S zm@Ae&fmZ#T8crN@D3RtcCsOP`pB#d$dxnuhLYLgnx~}EFnycro0E77}t>R7SYx zj`h>LAd|`#ji)fybXbARF8$lt!vv_%>2kGaeac6#bRhXkw7$Q38 zb!(;84j@r+IQ1YVw>Sj$pu_c9f=0GUzF?OhS^khxKXKmEHhHd@;y+8=#3ADC^2k!6 z2zK+2!2mYdE>FUfjl7EKz_S^^Ito5vF8jng~k|FyjD zD`zT`#+r@=2e)hfSpBjo=@s8JVyC3K;)}0Oi%yw-nI}!mosh&Vo zk#NUGj)Y-TyG4s5i28SaCZ|q?kd+vLUx$4;P1K^f*AgE4HXD{3E?*dJF$y{z`U`!S z9foji+f>RYx&6qy2R(nUrmh1D6&dSCT1yD zQa;7VMWZQf}LSSfpZ2gH#Ii zViw(X)W3d=HX@ETW~lO|_lC8$el7r|2dHRL43{-s9yMG6rG;)*!FtZewnw`yR~2TR zsFaEDdb+p0)Y(k7>UbadH`Z6G_U?W=CihZ$sQ$NVzj}`=Q(OER$3`<^$Xl%xuZck` z*@fwQcJI@4iVKD{ao007dTa*ZU2P>L(!`6Q2n?zE=5^kWeQgTXA>h-_mo~p!wcuA) z%qO7-A0)5gjsFiyVu!)qPOWAa_qiR+9$lY=wcw9kU?=xS=%2hF1Pa`gm>DRfb1&Xl zkTqV+B=3Bf4pzATI6)5Pd_)M+R*W9rpU`vYJBz*^{ba7TvhGBZ!a5(mTl{kKk9o>V zKWjva_nyJQ^|aho$By0RpDe@=A=@m}o`MciBcy53c{XBCk3+AAIl=#M$p@G!`Kk!; zFGoxbLp+duZc+y(2QuF7+_p2-e%E}+|mOMXgokvyRN(>pv zv)5NE`|~gcLV|L-Un6_A!nO=p8T9w7bXIyNpo|IW$4FNM*Xp-B?O_uH9E;gp9|B({ z@Erz!_J<_22Nq>+Onw@+(QHF^Ms>nMGn$D5g`U8^u6jOU`HQ#; ze9Qd}6Nu>BaBY&+NcMI4Kc=U+Cp+hru|X#?rEpm?ii38Uv3D49lh!PcG#!`)M>ORCnD%5ixa-l)#eKiF~na0wd#kPao)0gDH86$bzYnhn7TFV3I!_^fNluG zw>Dtu$sl$|B!{HGzcqbIJ0`P;vNQeWhlcp5R4$Yh#AzT^1N=>#Xwl!B#VKq#M{oKp z4>=c|{b;E)fo?EVw@DBvUFop?Q=KP-+#AX_uBLj9$eE(Z)rrwtq8}oS79Y$4uj2s% zHbFS%t~qo+By4no+k6hV!B@w63n-`mR2S-5`~}mBzd{Ftmps8_UXmBEG+PvK@(0aq z%O7ay{#*)@YAvH~qZYLs81m30PCsimq@UD&O#`=N*E>wWXD`3IDzr9CjOt`-sOnHx z1-WO&;y!yH#b~xCRPv8{vw&r?q;@vX=ESS?nRwJtruDA>nf(85S5U(&ThsVssHLIb z@+)Lnd)lFA)L`2{bJ5BKcLxu+-xXhH($^VA`Z0S?L>GX~4xi(tqfG;VNn00Uz*Z|V z?0ssh6m5Mr7fd1I*=Qd>FTL!c+FzTcg%ZXg4I}91aEAkyjyPs%?4o@KkeWh_4-}*5 z!5@teU_i#Bf(XpyCv#>ilRI5-L{L=O72SY_P%>Vo*?C|rW~sSiB7Na&SP8v0HS_O6 zSieegKb7XD`T-}aFq#7rd0n7+0Pcp5;q5ZGicO;Hj#X&YKt}33t2p$nPKuzP(2vKS zY$3F6et_upckg%+6hEokp@y=vwz?>g^?+M0yPkwqoTW)?ISA zU~)_>BFj9H0v_2 z*XDHc+N$Ve#f<=Hs(C9@TXQHh)~~<=G><~x`0yi-;V~a^?oyy6&MQ@WE0F*4t@`x` zAO^@1hw_#_gcB8K?#*FHC}ECGx%L#8W$_koZ7eJ1(kX>Bm9ou+e zr>k6x?#0(z9z3<;LM+}KsajvkNbmdOfz*gx7I*K5)4dQZx}hI_RfD`h;Z;~HQq=7L z4M!!RguiMx$h&$betxefKVNz`domT+Yrf_0(?muP*DAX@sJPAfH!9Wt1Lng%GPB|; z?V;|hk!wzAv+O_UKc6vscY9N7g2H(mi|lD3f7i}Q6P zzUNM_9&QeQyWE8sTK0nE&6Sv5x##}~cONU;MXClzzr`FlAw%^U#13t(hJ}>^?@LbA z<6fOB%is9YEf$l%-g!CCbZt=x;RdkJZbY8^6@Kj3)cyKOaBXK#w4Yb$66Iw<#Ls?( z+R}Z5&Iq^X&K%JzP{?8w*vY|cN4~L zO&BkYVgYyKAr%9+UH?_@S&VZDX;|%NQg0QXTkomR8)0|4>*duhX94x)nLgcvSKtNR zFfN-S_BtH@5b8Ot8MEM*ejsn(i5b4!G1GFZbz6Dgn;-i`yeW8I*-QDFkY{;);CTGhABafY$K zRNCAu9^yPbJbC`&S0B$hS`=wVCWJb;=jTFKF1&T6|5_(Y&8%A=(dlAoZJI^`Cv0Da(VKVSfsa})oSow4 zb^eSlP2H^;v^Vji5lph=yWIy-qc=;)yV@w~W3-%4+~<~8r&(eI-)rC`v6f;CJ>Off zT_XeiO;^8lF5#dIbYlNZI`|aj_D8PdWm1MsK_}m$$JT9sxOaaBxbnOrq;we6%GndL z=_VhRC*Lk83*K9MobdEiQNXMqP(A1lvCjo^b-S{~Ld+LwpL)Q{0%XIg*%@tM*cR#9g=TH0$3mRt!?mk!y1(!@yyavxQu+( z8fl*5Xw0^ZpF=~7H8a0IO>(Tf>RJl6#ypuEEXtJGIV#kRT2B702QUf67hf1H@L;sZ z8@YE})d?MrJ3gDgv=2IcON!m+nQyHQg^`W5oJC=RV1Nw6r3pzr0?te$ZSCsd4i!b07P{u7IpZW1M1eFzxeVulGwzyLRkcnBe}K z(p0!!{ofVJ<`dvjxTvE55oK;0_V}pt9ol@*H0ySLDoRG6HKk_3U5> ztW?px?vH+O2Bf=OEAOed*#(ZVom9D1w_$G=J;G_?*1jPn?M(W;F zEZqmdWaN2Cep(5#5TyeTYN6~4=RHosfr%=vvUV8oUt>=dwJCLSzsHCpAZ9DLBzfC^_8hoX&?L!y zs&evI7DTprF9~yC6nZNFT>fRxRz-M*pYKyS}NfsE$*D5`O6I>ydauUPu6l$0T|L6 z##d<8yE?EBu*^(!)*K%CG(#b|?1p8t)`Wd-R8Mg1x4-9F{Fi|jEOuyI`b?H$Z?)hC z$^_2kxnoS9S)+|?8>5V1ns)eH~J?Rz>=(Ik^E+XQf3w7T@=kAY7Sjm zE?)%~#*hRJ+&*~Y4NKMNHl!raaT@$#O~Q5(Gbazk^Xh7^dz zK50B$=1>hO`lh!dn2I*--Kcr}J(k8g4Ye9+?iL%+7BEcfUgY!Oe1Y>+k^(p!M^ynppxP4iz#56+ z%j7hbMObd%-ZF>Re(C8JfldD#sEvMG#wXW0!!I5>lQr%NRqy-s_uKZwO=E)%WiVy2 z;Yt%C*SBWxaa%URx?~bU${gLGlNyh?(0SCI;ZDH1w+E8LU`}9a3)+YnWkrUUj5-2y zA3~Qa+uhPZs2xoO=W+SCIFM!=lZ(@PcbO&c+BY!%0L1ZSfl*S3o#dpXMSZgQN+nAH zsEeE#hHd}3#`K7BnNzrapBt8@(KqTFZ42OCsu)0`x&2qmJXM@1pBU`5&v>|Bk2fI2 z;n((4Id;)*&OY>fpTHQez~vU^JVolvCxj}zNL~M|1!c6)_QXSyYm3|h8D6ii7I}gf zQX`e8O_-ESNlAlfW{WGG>Qsa&zsl7Ani+X|IO&8CQgHN)C6vb`Cl7M>L07u^(c2!P z=f3cf?&-RzC2xWy*Ff9+zfFU~CD#!8U&)GNs+T|!L;ktKJn)eVAQ6aTPKAK;sDNkc z+$@!!d8kHVG_(&~ds?n44h;^ZA%9i4V_rN^x$*&*cO`yg9FT~O?-mDe3UAxfe4y1E z^z{}EoMi`i$G!+w%twY%?wM9E+{V3U;}sdl3?D+W0t?L> zT+Hbf6lp$GUwK>AoaT_N*|eCs;cM}t$3g=Q%u8>~jUx8xT5u|w&A0AZ`T#(SvU^*x z^!<#O*OD@`eTo$`b`k~SrByH;`nMm?w^w=4>4HBIcKibv{1I@r8p7l*c2EElSR&R0 zu%ZXNdlgaN4Egt?)+ELoi`TJx6*3d!V*GP5~8z;f4-$%f`6SICydlk0q~ z>pSPUT8hRX-Gklm!DWz%6&aT}_MeG@!h8^Dj@HF20ewyd=D4=tk+p4ffOhYB6}07oouYC&Zeg7gBe$d>mz=p%S~C-K>7sNLPN_+*xrI}XTVn1}p`_dS zJ-`3XU#HW~_WeH3=ktEQUQ>rmMJ;F%U3GPP$X3UG?aN>)=lYv@PP0TsGw~#c4SSmf zcCT~D#Sxn9oZgEl&TJ8WFtkhL)0%BkuV4A!L^LWutWYt48}+i;G=GTcom7RAlY>BT(q7=5NzNjr!ig>uATV(Bo#6^M*EQlIVmoveu2#rZU0iH*cYfveA#pkni4xDqXjS;3-Av z-*1H@UzR!}v3iFuPH5ZvMk>X$!W~Xg*MqRx6 zdDV416*TvSm8U4c!K;$8hk~!CGo<;u^BYB?p1J_Ix>8ot{^XmfDPJ0YyO4dYY7cE- z{a5kJfWS#Vuq8Vcz=umKy&1o%*}SVOnRrXOQ1L&g#9g&sG7Q<&3K?x^3((~Ly|uj! z_yrJ03Z7b63(mk2a^0V>4F7E-iT^=}!|PNX{kH`Oac*cmxDUS~z7ZED!|{NdBfC!)XyEMYO_DcJ!R2dE!WPbF`!E2?Y34QXgl34_kH5 zv7di`dT&QLXezRc*Ear?YaM5*iz@~Xvl(hwx_pL)+3`p~+YwL1498%+oArUVlgvZZ z=oEjMaGf;CsJS&0!4rG%rIJ^9k2D+^MU&!4kNAF2W#k_^kO`(VEE`IdWR1+S8>X(h zGPTMB;m5r_LLK@Ea?;td@!fz$6xK6=6Hq;1M+A%KoTZ?#z&CtiCu~5C4;eiG!Uei~ z@>1=pv)hZEzm@FZvcCUs#I_sM$0HspG15l2csYG4KxUNFJo5zw{Ri!wN;My`S+dCX zI(!gP(Ms8byUWm|+6$dJiPts%8ZX@U?(gA63@8H{3J+*%wt(7-+mNU@0{C3hkq<>U z7rat!{&iKE0${EzDd!sEs%K9Lh*PsY3b z0Jq6+-@MQ(VS4m^F(!s|19=w;fDrO@F}v>`JLuVBE)5LP3SWHpcmkU)hWADZs`FYK zJrG@ePN>Vl6CjHkyy|9cw7^tg>_%=@K5}>V9zo^w99aT`kQ2%CRPOYsAwFf*?cCMC z%`jFdTX?%{N{+%4MT)3;`PM|+ljmwq8+8w!79lD0Ht9apmn(_=vg(T8FR0mC26-8? zmmPTG+dO9%Ow{L^bNdHBc^Dy8RDRGMdMh%mkJF-C{LX(k{7}N<#ru=ZJh7{q|3T9= z@=~IoE1|l;k%wlLi2oC$kmycgy_@~3w?zl5b`k~;=*)jNXE8uYDT<@yO7W5lqRSd8 zC!D?zP>W~+kG1AG^;Y!I{%3+Y7eJ%Vscp7gZ!yrSSUxr)xM$gsMcb1L%!dsG2e&9M z$4WZB2E~G44f&HpZ;f*=fmZmSq{Xl_^>Q55tMj|Okby+ySN{ifX0guv}>TOi4!B1)Y`uZ#2NeJ)9WEWqPj zOE5SUrLvFA&eSeu+oXr8gM|WWRNKjQEd6C3#>Uh|! zKU^wErST*1J*#ZUD-ysbgy&RvIrVa9Li68MQIlVeI;9e5>8^zt^~PnZOOfPnBlwd~0@UgMO6?56i#F!&S5X2S`B4MN*IA`{1popQ z7BRhe7yBhQm3_TUha(O(MHE8?T(`u~D`I{gHBfNNLP^%%bs{uU^oF<;oO1Wq;a@TiH_Y92I$@!GlMq2h+qk!Qf>w6XL>66hh3Wb^n1lRq|x46Lj zgDUF~7wD$h%r^^1bA4aJ@+@oN6jTBpYtvyBIE3go`vapibe_*JR!LCdiBP1GUgU4X zoShpE(D{nLuVVl9wv}P7@8sz))zw7OhCULrRSju;6!=#&!Ti0~xweYI_InkgI$A=UP7NFFE zQAZoZ0ybRj#2?2*IDs z4O%yUwM#ulaSPgXlO7OZnT0SeE~iKs_})7XMOzIPBnMDXJEmF5-lN&4^EIb^_j8g_ zod{San7YqM=G0^#OQYJ20@F{gPe@#cssEjH2Qp|R6{cZ6^_zPa+rK9^gaD3)k^98s z_(}1ud78rIptvuVqRzQNXVh7S^oEdHX+XqM1f#DV5Ticr^C0a1RE_iqm>HE#zR&;XX*ogjB zvhG7dAUtKcLmIZZ1MGO-Odz(mCp6pBS+>cy;Jn@ zU8%NdDPLbnNNGh-8y3oRd#HIF4%l`$SO|NTu8U|A|U%rL*} zJQ3X*AF-7-Utkw0zT>Ggp^Fc#{WA%M&5H zmSDtR-rFI1_dmS8@mSOIoBGzWPlP8I6rFa@U+hF|FQ zij~`3^E?hLR}`6OX1wL{)j3=pWr8MW)3_6|$$dI%p=@zT|4eZPMtQbK_k%JBUo+#e z^nYjcWsBPir~h<|-Vmi4E`lrae#(3LPf_hNRq)Bg;cgQ{2~aZUcHG?Ykd2ca z-Djr+wizb?s_9#l%gn=lR{!Tfr4*WbnPL&L;`~#S;;6nyv7mB8-QtJh7{muf3bp{3 zvvY%x?Cm1PxqF~kp@X8vIiQKBdcZY`C65Sai$I@|TJA}cnaT)A9C{Jx9y~hi_+07r_l_U|=!bpYtv)0yFR5v<7K;IWa7 zH~c8jW;W*B<;_$%XJa?aM{s;I_>*Ntu{>l_tG+cm9k}Y~3#|cGQ)xD3(vU7kxJd7| z@pzFmT?|Q@#xoSY3ZMN$eG)UtukinK}92|+>TQ|C#UHB1>1>Wmf!x5)0#V`p=+vI zh{zp%a!w5(m_5K}r)*c0eRKz-G+g4?Mx;qcqF6Dg%QNe6&RBt^jAompB>|RDXx#Ns z%V>fX?Mx=Wr)%$Vggg+Vh|NXO_>Kc=G1p1`LR0W^M`(D0_RpX$qJbYlCV}iaY58d^ zngoqC2gx}r5x!QyaB0q+On~Kya<&hG)QSI!QNMkzR=?N*5%A&2w1bxmO`Mvv(gWV& zFTSHm%AVlfEO{DnNX-u(<(enO-Qh_nH0iuvU3Kk{>d*;Ch&T0*WXu2f&g`y!bfg(0 z`CFUMH#S5|{3bmpFa1n%MpLDP) zZf6%!sG$GO67R->BwjalA-Bw2ayMH{vaRPcUuq-YVp#7o--#Pc!^Ioobr70e0NmSbA z{^Z8|s~f56Ej8+5N8*9FplU7BS;#(S6)2eKx3(BGGGr_1~0|^Tn+-SnXFm6MwX<69^dpwYqT5rytcHe!oY9tG(!S7mF&hgSH(_N zAcscm;}^xa_8Qqx^!y{ekHC&)Ytlu^Bri6hDn*k|8qtujN8i2;`Q6jVL|xad1rno7 z72SKMA&CJ-{1!i|AujJ^v2^evqR7#y3m~lbCH0-}p^z>sN2m16mfXPST|+U=ANdK@ z!5Vsg0x!Y}z1-s^V?HLf_sP!@cIbxL#dvT?$+r-#k-P3w&|Tq!miUD`P1=*v12!kK zHEOL(p;Md~KC6s>!8-(v$BF_gqwR(Be1dH3XHwr)@~V602LNBxqKI&Lvt0W(J1siw zx2vhOz+?E}U(k9(Sd?o=*+V}7-jotCVDkH zKqRMfyjc3n3|j@JX0tk-mDely>BcYHsA$W+WXl(5;4^rlIOBX7%10F&49rDj@8R>m?qI-2p{)W;Nwn!$u4C1o z7%|Gp;)+Lyn{$yUh-lO`^5J|{zG!>mNY+kO&rag#vAy~BG^z(8dnd)6mcVDd^UH;y zZ^mxCqOE3o8KK9?9SD57Rgimf@oc9PD(wHh7SxZ+i7{39UP<4=LlC7?#rdN|g;8?` zR#abRY_Wz^D053JA($q4`OZP3f%OvA`4dQxF66xTkKvU)IF&if+-0}%qcx9oNBNr8 zRTHMM3AeHDOxs{D@aFpU2)<6~{Gb4Yw=S&ebk2$^q_(glR=})v; zMEZToyYUR9;Ij5UHR@S)tbb79Vb~jfC3TVXz+p2Y572DPGz@g7RQjEu3F2Y~s*{{m zNI{Lt0+)lRfsZq{GR@7$8{M-y3gUg{0pKlV(}M+*(PlKjpRyVhL$+&b-@^PiDAk91 ziI`9(_P)VwJxs<5@rbWX;|vJ29Jy)$0;qPE^A!p)!Qi*>)GrdE=mQsOJlyv-%EY~IwxkYRNAnWRzoI+*(# zC$dk=m5pw#(jbk$4br%71ZIXX*ImSmsOh}_I874Y0;1HyoD#vWU8Gv)+j`r4uTviG zhfsFE8dHXb5I)#0ssb(PHgOT5m42pM_+|DoIv6Z#B4!PdqoYNH&_ix8%!q{7V~4l> z`q-StpC67xek0-2i|gv5xSeZ}-}~rM&8XnC*Om4YJ)Z&Hl~b8;c695Tr+%9FZ>8rg zFW#aTZLiquG>nW_UXjjj`ZyR6WSTz%k77qL#nJgzvmenFH(I9X5fW#Nh8vS zgkGSnC1KQ@-UWc6ZvAtV8IjMJBlAQeCzuf`?xdf*oW-Hp z8-$-<-Y-T9eQs3lqRKq^#-8@$Sh5-5S-kb?OZob&;5SAVijQ>c17evpOO+`DxY48l2*Ts+KKesJh zH8oI{qtRdG^a*8UAcHd!ZF5*c7W5)FlW!}KKw8p2MSguRswt(q(Q6Kxk1ZY>di!m9 z>R$QwO}2iml~uGWSaU%(PcHQ)N_+Vm(mi0=!4V$6C3t%I?^}9N3F21NxH4+#`I05qS<7X!q99%@tSnGzB(bTupLcW?zDXX@D}NYJoT1U_o$70 zMzkwM56(>-8r1o$pO$-*sq7=K?A|{O9uWyh`(;OQJ^wh=P*OJocr%Ve*CGVTzn?yx zuZ;#Xg||41zg7>YJe&9b=7IQqKG|HUpCFvcB?L(DSAeLvcSe{va)mNmlpl^M0(X;t zy}AFOan&Q7+|!5fAd#>2YdZ?uAM=q}yOup$HiV*={xNL(Li#0b3|on>-B86<-U6|s z3ti7jzh&o)nZ7>Bdw1>I@S~)Ej2;>NsQc|m6Di5~^EOYUduq7!Mc6&k55-%Ki#sDK zKB-_>a%27C={;(@Cx>5jG-<9)bE5tNSOnwfRmaKupLq=f^Ob4+kW4$=+&fD|F5vRmwKTUDQmvp)+G>sDef5x3Dj0}#;5pJt!)Yx(Q2?rL1h{V}_6`6mq_i~R z@b(GZ$O$HyKS%}J{!Ec|5^;L#4OViiYCv1W1E$4Nq`++fu!`{S zKjE-s4*}O_i%&j^qz=Q-)T47ImFr9zLq4B|9G-Iz z>7E@0T``@_A#j%8nTAMm`SQf(IV~U~0sG<$q4^M6F>xfj#4b156^#S){y|wwqRuk6 zu~2=Kr|bgYoR1me{urOz-@A9#JQ-b)HTnUZPi#mzwkS7)Q+`3WfEM@+TX)l@&|DP) zqdC(&@(kNXN4tHW7y%~Oh#oJn!*EA;91l%8h>Z9`4Jz8dd_+6EHwx)$i(mMd zx;Yin5Q^dzoeLaEoqZnBzNKs-Od9QZJ=NdbWt>oYJeWMYKg*(v=bkgKwu z*M(o!Hj-|^)=}oO_yfnl1O=srsc54P-BLY(1%W{9iE0@fPF>E^Mbzt<3fO_eibjW- zwBYh$ugIl4Pog(H;1M|QO0{hY(B{O zqbOvV>>x8;c*s&NIeag(raoLRw$OdJR90HN4J%{Dv^oyk0YxCME1ZwugRIOaqR#+} zwv~@Cv}8lx1XztoNz6xyBVdCKBz`-}i+foGk9jpWuj*L475~$= zJV;fIeeU02odc^V_e;eDDDN8u%{+b2|stW#8g8l*Q?W=!bycbIX^UdL87((dVI z>vl&G?~MoCg`5hXVJoFSKf18Q3bzG%^6KM05d%L=l8=obJS!ZS++2J3F$Y7O|x`tqE=#Pv4kZT5Bu)d&b zpN85eFY(^}mBI-oK@KUq!Sd$5&+i|$DxSqkXq1rQ??QCfJwz`2Yu`R_+Px$g+j8@O zAe72>iOACiZB!N_b#N>+tEcnT(6Df=(;{HRM;U>VmYdX*rPS=#i@PLVVf*)wsqum) zku#Un0xl9DJc6+m=%hY-JtDSzxGM0Wul3ZjOL_wi2^MY259aU>4Q{tMJXC_;iTdFO z=aAEjH)!CpL6bCj%`}#z)ZTs2XQ>ye=^yqL9FS%#(q6QyQPe-fIpvRsMzb>$G+Vly zeLR~YDd3=CZ{apFVDIoyu^Rs6Ovv|PmLosY^ELZL_eqQN-zgQL z;hcMtjHsxSDmUPSfpx{C_T}gf(kUA9YhAB$#q*DjGv0v%v-buupq^0RUbu+Kn|JyB zdWv_aF>x-*HDmt5NYD|3Bj)FS5MZCMxrp<#H;l`K#NN+*>yAf7dkQiPX*=PtPxs@* zYsg5ee^8e|V&S@*O^rRgBR}%z&t>sFhtIYR5MXPC#_hVGJ13d>sp_bhj#qN0+c2o=AD zCp-9i9W2eSkIoKmTGxU?!r1Kh$}L`Hf_})09skZAJLCFLqb%JZ$>8(UFbkn zVjuG^!Yxhie+UtNzUs6&x}rx_Y>SMocXf=kcMt652|Jjeg?PaJ&3G4jiP)zGHdm-2 z$?lwg{#GrFG!1m8^g|};7#7pSNnhdq7ra)v!hewcnY_|Z&LQ5C_N$xwp&>+CCasF$ zaNNhfEytm6QZgjvh$nK|ntvDuU$J~|KfMKmw1YAEk*DcbZbS`=>*2(kOY&5x;N{mm zsV=1oIf>1C56yDZ=msFEd{f#y&AGq)iB%)?W7c@efu={UJUah3J04f5Cm(fKGNHj# zRuYuW4mg=us|>=gx=+cfEmDW=nkm6ACC|3K9SUGWSLM4I31t3JUlFCAs=WEUqF$Cy z#ACy`Pbl#O+Ov)~tqRw&3jP5bsJ^F}?BB1u>n_s}Yo~ty5L&*A*3OmDmMj#;t-3lO zgjBG;i!Hg4*o0ttFSMb5eXJVm*NkX?Xlklxf|%e#Fvs*vFyZ?90FB<4)c7)n>f=(X z9>f)esBkhrRu7(bSjC{_b2U#hcLienxE?&%Dk-L+n^{#vLEPk4mlP*lZ~*gefol-KTs2cJ>9dTWf8M`YcmzpL|Ybh9$9&W|8O}f{Z-*lOEEJiyj5WN&L$*u#yA1 z1enhC=5)>inqjCBGcC4sRM_SUacc}j(Tc{63mYrPYpE(1wgF`U4pr4JLGZA+Oea1BEJ;DmvQz6pzs zW*E}laZ$sZG#OA0{O*Yp!W*GtSkU6gu<0Q1&sw(K{k6b_zte~10#Y%D-bJcRHpEpU#y)rGyd+-);`_p9fw z;9@vg3#g7}3s6i(a`C?@M<;9UosP7iAzQ&388ZZs!`S$fjWKBOI_(;YsS?THsmk?% zMktamX>E=Q*|ZA-B9J+@%kW}$1U+TY^jPmJj2p zk54c_CQ{oAt>n1)2b==jIBse+Vr=jb(AQf`V~s!}Gu&dBb^*S^f6YX_T(2p?g z7Bh8>l;w*5k(s*%eSG@+Q>SVyxYv&E?n04QyPTnL{3T1H;o^(Kj^Di1G@F96qsU;$ zv(MpL*WT&$f%Xq}(pK)cZ(a>vhD??>Btqznc1=2~jbTTU_W&0|7an|vnx_0&BWcje z*x*9~nl-a=!AttRCy;=fE}y`sCi+}n$EAQz+4>S9+rGOKc^q{-wLCEY(O{ulsL}uQpwqWviK}x&hb#9o|4js5u<>FPjD_jLY-nh~uSF&v=$g zMffxEf;o~#>#wDSOwE4*yQOKLgUxu!M7ZSC@`<8+(}M9U6omfQVc4+npnUd0&-<{i zLp9N5lj%T?7@P;z6UaZ5I~`8@?)WadgsO=nIqZ_&2XHx>iHrE=#@0fn#WiGVD`8Ou zTc>SNtUyzQj#KkGD=hL}az$OY16N;H9+~L*KvPVrnycv0RWr$ra*Tcn{t-tx2O2w& z=aGgs(#F_e#hN4fsX~TWG&=!L#)Em#p}g&bX`7+>%}aurIFyk#D`wfI+w~SW>Tnck z--c5fmEMrf`)^msf-f*mZTnjJ^ODSU0V!LGVwqBLMLT6|2ytDm*dG*WD$iZ*dWO2u z7jgOLBKTUS z+qm4Q0@rJLFG~i4X^1l4p0Fcg)Qh`|6vgl$f$HT*# zR^AG4_(pQ;DR|3TScl_ppE&u3hs^psQ^}U%H4|_}m(6UJW{fVl2qg$u`=YpXIS;2V zmGv-;q=#7q8W^1Ri4WM@EITs;cLtEoS{89p`Q{htILcLf9%)|l1k|3#LfKr|6$w=_FD>u6C?tGN&0Syo!-N3=9?g~c}{FWEM2ipG| z-09B8|H%Dw>{l_2`qi_U(b(aC`4oDpLqFn3e0MiB`*3JGD!CMZy5je)R98_;#wQD0 zu4Uj=23MUcqeU^7oT1(84V{iPa;0Ynr&X$NE43OiExrvSnif9Kfyn8rhFk~dB3Pv^ zb-OBGEHB>Lc=#So5Mp*5qA^~s*@(GREWf@6>a0t<3Vx5&P7GqIW$d9mzlU1({hE1P z3DzChY5slWly+|=6-HBR$EiS!*_)CQr>tpo|Ad3q{{KOG(eq3}Cm02j#cz)wH*4lm^ue!YC zaA@zQa6_$@pL6g`?_%y~L9_|1(^$MJK+MUJkP`c!ckWDt^ zI=ODSylCa8+iJ2f);;0ojvUX>)q6S$-R|B-<)nhq*ol{#4Ue&Pt^OZvsCgfGut?eI z)Enwae{mz}_Vd6+>~?kf=SG8#A4_&XdXbtV8EqVPK7w<$Rkqi3F*mQUx+D9|K+-EH6R_G_ywx?pgl z2HSD*v6o>)7jkC=T4MfcOphyi#EiV*KuV+FFow$?XyOyuDE&?b5w(C($mkcd$LrqJ zZ}756qe6H?3^fNh+TM;=&XicL3-aO{FS$gpdlP|zJ4{uyI2&+eIF(YdIlaDNc>g^+Z9k@Q#xEVkU}>GEtr zZdbQZy%?IYpQ=f7dUoz)I1*WqPp?u0YAjRnNsE#ESrc}MKSlQ|{qU(KNeG5#pR`bS zCAMMCSCRFX4ndk08uQfATOwN0^jEiG>HA+WF*yAqhzCRTN^2UksyHguD_OOA}v z?7;x=cKi0zz`1db;|k&2=jL2|LT-n%Mb$2md#0()EdfTzfAxDwnOX0ey7YPO(4tEi# zc~oe6kFMpi-v=A+C;_JkCb==z&Zl$Mn!oU|KzPvf5)Fchh-=IJL}9+gdA`lHxq4z{ zYV_A3#1PXgIQvZVOm4K}+m$bAud|BbV&E}cY0%PEgWI_h{Q73;*@!2q@n@21*pO{< zkWmMOymaj$vLJV>88q#JmX|2LoVCm^`cxbiaBY%vDUNc})+LbkG4(*p zWp6SZoKh|Sa`m!Mg%C!kWJY87G2;^5;0_)_<2wOWQCn~tc!&N;w)mUd`1VFrL_6QW zE_SVpGu$ov@o6LU;L_cr)-Q;KyXL5@kpfEj|B zqD1@kawfU|s30#ye6UTGL#EN>rt*D&$39@Ox#F5a#7W+!8~NGTg{k*pJ>Q>}JyR|EI=MEJ+*oKe{A|Bg34f|-9*Af! ziGQie1Mm*M2*Q=D0%qGD&h?gb{PybMc8r%o24EYDq(O^ZI_d*1uUIMNbB&b`1|YU) z3o!~?;Ln7_$RW$k8}vK5oS*CQRUilE^x<#5f$EV(1i*Ho5@r%VW&d&M&cx)%4Shyq zVIIeLlO|?Z^^nqY1TB=**uK&TN>_q?BVdRP^;x=W{h87%9lJ{NV#&=r8cV73hTxg| z^AqcdcYDTLmpdZg?oT|_cWxyr8}ju^SFv}*3}2O9O`;q- z&N@7Vv_6Kmedsvgl2sesM*3@CTf+&;5`E&&gS^i`CgQ182<#xarm&ai;UzZWCwP|h zg8JdHc~{8!U)JaD7EA4(JAiCzcei83h|g98|3RnA6|@T-a3LewdY{&}z{n>x zHn^gqsBb5w&9k2p-*n_$D_6#zM>xZEg$QCcX+z%EANbsxaud~K=1QraeWuv`?D-S{ zmN?&aWJdK&@ZN>QkMtjPA(-q#0*{`rz%W%%avgzTeR3;!-m<`PI)4lu?J}<2jR3)I zhW}M$A(;oi$5|xR$`wng+jNLO=HRIJbeM`E=MMb-{U)cX2dzJJLr!gmOmw>H zHLX9o--9K<2?fQE)ob`JAPXKKSDtPAggEHQ@>agmENQh38v8HI60(xFg0n-yH1jv! z#+qmeW4xB{s zJ{32g?V5@F?#C^SGuCZ$37O$#69^F{nsg~A^Jur_#X5T*`Gk|a zVT0fcwR3S=TqVX?nN%QfFWywENj!6^P4}z&X}q>}fqLWoQM@EDkx2GF=$4P{EF7vc z$l1O|Y6yr3-cDI{T#-cnUUG#;gN^wl-QyBpeH;t!0hh-3BiGW#hMoCh?jAr^Bx=-> z6YsxJM)Z|R5vzUr9WQ)Vtsz;wdluqLm5LR{yz-sw-v%ae5v@|6gPxiaa}V zx~8l2#LxJg6@aH?$zbp9P4Ey&*X01T8hwC9jq^AX?DQdO^$q9K@#|tj<)9y z-E70Qi6|tOIOdg^+c-9Q_7olvknX*quh1Wau{J~r&$(_FmvwOavCGWt=$@U6M8Efl zT#Hv_pWb&lBL^NRc}$GKwsx4X%ul-|Y({H5RtcociQIa8di=ho$>oeF-AV z3YRNOB8o@0TOIB~YLSb>?3(S;1NsVoO;_rts*#|%_6x(Z#_lM@f>Ti^G2{!VAh_A! zHt4$%d^0i``+a0gRDfYa8Vh)gs_dIH!3(I049jI}mI7EVOV|glw(;{SWPt9$R?4X> zkug)@fB_)?&R1;I$M$+rgOFX4KM*!*Zo3J+lYtUpORSiwmhG|;?U=j{^r|p6yuL5n%lJm- zY5Dp-4|q`vZL3P3iH_mp=H@H3cT7P>QAn|MHB;-U`u)l7*BrO!qM&?;Z?4Hrn=Y+X z-1VL=3}VW^N?AUHf(=d-H5YC5D{@-Y%Vdb6-t$Z5BY=vrMoTp*X^+m1HWf-ugGM{j zv;Tlo=p1n3=_o&tTXZx#*Rw>AV>;qdRd6YIIP4axsJZ-kv1}v-etCx`f?!*G0zw9% z#%YGxysNH;+ZKXbW&t9NAZSQ)BzK0}hI<^o_}&ANfdW}nb72fAJtK1J@$e!-zaOE5 zGgZs>U4fQe1F%jQw*1-l^C)PmTl@&7HDjb3}r)gBQb!cbvy<1Sz=4q(}81LQvd+Y!q)>Q8I1SJx;GkPNApf~LfXE0bpEu2q_X zQNToAVw5lpWo@)!K9WIw*lm3P(u&c!!C@StMt0EiuAZ#{12N;g{qfa{#B9pH?}?9F zYhfEEhT~7Nqo8^r`V^M{qV@)l^ZdPVf^I%q8U?^DMGM8XOD%u~{Qbqpc=)x5L-?FQZgYoJz8H9kCuYZqb+nuOie=;O;?B}?`n^v-Nz(C@NPbz2F@rEPfYYXriAKjGG zVkvCtlssQQ?=2vsIvADG1XzfMl{+Nu{lLD7w|Uo8`6Q~uoD+Xd=5vTr6GyxGZiV5b-$S~|xg^N_-wifg>! zeo3({@*;J_FaIrJC)%AXTlt8>gL@#>usNo7^SXWU+6d>bK^jyx+Tha+H-o9}=-0OL z#7800PviL2Fry#0jL`uX!$SxuH$H+SJ!`@A=u&hZ=xVRLZGo5GW1^xt3t4VoPf+E< zVOCoKvf|W{sw2gEvJxL$x@GcSmv2WPtqCeT*qh*k=!+xnrNN7X)76uUAHFO*zgIsX zn2+a)X{x1uLFF31$h|z0H86ReRHnW0lfpxW{28~1B<1|#lLzan4B+PnA3B$>{Ohg) zItZs4Pvr|Y`a3iQ`5$I#>}+>sgv{x)kM=#V5{tutb$k5_QNii}oi84xNmX)Q3Ay6T zwdo`j8B{m|j_vEYc#X~|xAKLn)U87uq{x)7K=Ocf<4Q>%0?tufM_loeay zVG0!BcL5RfRtw|&2)lIQqAZ}%$90`Ff%9zJ@ zc8t&h@#8lc%Vfskm=1@1!Dm-{6$!Au_QVKuho0HhUU$tL?REp+`F=%>>lXoNM%(@E zQzW|Iz(F(m4eE{@Wrcpf?9Oy60qQhUuZprws?Yo3-g%Me-Yo+QwKR$Kh& z2+8epy-bPSAuYdTQ`3LzTao+tD~323ImsG&hG#JVy~yCYmf;k5ZN!{a`DN`r)RD!LUl)y~wAFSDhv-)>obRH+Fg%vEMXN$7AD!A?Qc*AZpdmBSz9 zNMF;W!jb}t`W=jPF#9Py2{X9^ZXj^Zyxm)G==`IR2fiM;Vss%g$ldepI8#&dKj^V8 zN0QO`yDlJp?VFDs2g|#nC>d@sv{VZBm*%pFwSK#B`LKRUvcK3&)A6XJcPf!lZ^l6? zPa6AOI>f76q(XcK!SQizkdTbmsbR6gFa+MgIB9&0cE|zqwG%J9ybc-s2U$%Ui}&j| z78MmRMIn(i)Tw0e!^X3)A`V;Ezr7`)?WS9R_@w$xCQ3fo1Hj@mN^i}H-Qd_in?9az z?qUZ{M&L~5F4Kc*In{&2#a3Y+z4t0qjvgC=n+>E0cK&j^D3E#5fF){Vz0?Jw`&jhF z^(~lcw~u7<3?@iKh-n$67j*akwu0$7_J^Mcp+V7^g8kQ{mmELBt0ni8Qr zfVWz9(`Cp~mThob)$m@^T(@YNq?Iu*s z>kEJ2L$EEU9H@T^bMp)>SH?yutPxY~Y#wGp|yDypYV00PZI zVp~nEdO#Q8aXA{jxBRB644S1knY&E?eF7_~!p8$WyhT)pIes&k4dhW0@2Q! z*#t!S^g->gA{SbR_=i>J#F8#&M)|wXJeybMKSpg0tKwFjw8{j*pLjy)JkakW?Em{3 zHJFsMiNh`(5ey`N)RQb3R0q{(OPspVtIo+sd7>_|PrMY~V1^aNE$BY)qQ(JPr`1hn zzgyJn{G7Mx)Uq)+Q$Afk-}sPbTOJ~9x=dwUqJwmQKgjq6++rn0JD0_lJNtO|=%%=c zHl6w#@ZC`F4jGgiqAQ?jCrdhdT^rE&B8@mByCW}ox zy6CUqP9#d;Ws(iwFphF!O4cWkW)K)NP%|CA*8?ty=WgU?-o=+y&Xa^v4bh{Yc_Jcr zSm+S-jAGd6`I_kRFNY4;JL%Sk!}`P+vgBn~got`E8Fs&my_;1SO+zrMvg33Ph!v^$ z%K=phgeh_q5s2irRM@lu$eyemh^KVpK{8;!_uK5CkBlcC#_qNJq7U!H$l$6arD13i z!(VczddX{hn4}Vamo^$2f%&3$ng+bRxu?a7>GFp_hTAE7w-C0*gdfTfhjosB9myhvTwKa#srp zrd^QwxUFiw*yFv(o+!72Djq;gB4*1kUAHv%xwY5uaU z#CARVciUGB4W$ty6yE(pTc;VIg;>+;z395PU16hkHf8(%g_z2P|5bD@{!H(G9RJQ{ zbDc|5lL=8a92pCXF%=uRZrycRA?8rFl{-zM+F5fM9W9p$NgXOV#YvgOsLa~BY6-b^ zrzqugexKj|g6;9$_j7r_U+?EL;W8RUmLhtTZS}o@g_!sF!bJgfzHY1T<0DdJ@dc;( zUoFDrB(DNX_7xMq!c^}!gSFVRD}yJ=zIpKF_b6B8zuo#;Z&eCMA0Iti;PigVi&+o3 zCX$1rf%V>x?DbD_-QLpB*SG0qgPnKUYJ;24D9ExEkD;k2TRoz_z4bh`eW0z6G>s*~ zJSQjW3e(~)1@QVI$ly}-Fh2x)=x?p;sdscv3&wjU)NcIfpxtr^2e)=q6?Ne?HJ@;j zEx|xnUF>n@o$!kQTCEtjm3pgtJha6{b(@&hRCO3SFVCwbMo%w_ghN1yXnj8{Kz_= zy>l8ID}0h8TO{_XPQM706mMLAis>H=aXJ8MQfW^wZ z=d}2f2PcQ^k&=a1t;wUc)W#*itqjRo+Y!Si5=IApPuYaTY|Cs$lD3a~pS>Ey^>p@= z7pKRFTO3|k??2Z=2-k*Jg65WoLmSIg`Xz`OdHl%KtAI{Toj&_`$GB zhZ15Fs8NL+0kus-A(KWQ%%2MTxf`!QW=J5{`vUn#F`q(kzY&>YeVEjQ?JU?JIUMV- zJxc?a7k>>MaFbz-%3?2s1=-#mFW?B7(k@e@JwuP7VORah(hm`SxsD$_cSUEhw|R{X zPziRSepV^wQr*Wy+?-Z*UP0Ac)Xt*UX^hZE!sYbRt!|a|;K^GN;f3sIyOps3E8S`X z4cPpnZgq%k0#M}c9A8^VK!ZDJTiabrenY{Z?R-uYrhw(__6_ypr1h&d-BRFz}iFZOgOYM^e%*aom^_d4m@jMG*Zc9gjybu6>k_cul=XG2^v zr9ECVR3moeeX!X1YXpRie%91bE-w&f+4kd)ukAy*;OQw5C;&eee&ZdL+4c^`rnY$&u{~ z(qV^o&ed*3W6keeaSKHplV7W|E>WbCpjUVO0gvTp$4-9>bR0K1fA1c^ ze9sf3B-<>ax53A2u{M7gL^J)Y%@nC14NP`<*l}0BvN#;^vSDRsIDO&7PLA~rEN_r+ z%qyk5^~PkUZx-s<{5efW4Oxb`n2U!k)Tr8){jlrXlw5&I52 zTVF)!g^O>7@>hjw6A)Q(u<*j zFwjw}p@py@-kR!(@dTjDq~sqL#}8LsD%_t8^w%0!_wP`J(Ee z*{l$k;zse}=HYg+1mP%dH<%HG+=~H6#XbeOn!F#RrJ&kOsi@_tHy|EnRkosM2LR17^Gj*3IF%~+y#@qgfYS4Kl1w5BEQe5!J_^3=ULmvwax9K zl2)4_vAWKrLY6yAg-Ey|maj*oc4uPx_HgeZ7CgZhG$l{o;QcFWh8-EQ@ zQ)J5RB7B`L)kx@E3uI}>Q_zbH0Ps3aNZ+p5D|ODV9)@KyOf%J0K`h5i%^UMiK>?$; zuhAD~Usl~>tVqm_Ds-b7zt>{jn+X%=9RK+@j+SBk>e7}TwE!Y7(CTb@xwIfSmx33A zFel0kjZQatTD%q(ip~gkyZ*V>f5FwW8(I@Q_Nby=;J+JerKHAZpayWz&$07Uh~XC( z_)L9IN>aw=*zzKc3KoGn6A7fnzC?}uCWhY8ium!FfQdOtIe`JP2!Nv0g=Xl1j$p{} zBj7QyyvFfnG@llJ=6{ffUtn)_rvXvLH+2nM_=h>BM-+Wky2%^yV=xT^26_Hq)_5t! zN(OFNfXO=!1CmVLfqv>;oDxO0)Hcn0A;-ut(M!JPtT*lxvL+i5CvSY~N4v<-8YR39 z{`-*&QHXR#Q}FSd9ICl*5R{SVz`n*>9Gg*{vmWf&xph}$qSa2wB1f!IkBnXODQD_) zu)vkrB5V&Do( zY|2imQ)Xs;9ZC9f7G#`5#|P?6jPHZ6s&nK4wHkB6Jlre7hQ;+M!LRbk=UefU>Yo;x zUU%`$Glk16!_u)8xoscgV8+>B#1&6gr-P32)h@%Dzv*S%Bw`P!K$eZ*k!iKNK+IrX z+D2@Xr>`_G%+P}ZVMC3|&BDoKC1|Z+7K&ZKnYQulJODKM|5l#$Wa5-jUwJIa%j}C; z#^|~BTCBGc{^ZWlbA`(g%qW;X>&Wy57u$z$6i#{is&JM_z00!9H?n4myw4l0D0v8V zU+>ob1`>;S$j<%$L&!5wCx8651EK6vnR4GP$+@tF=zWjh6vt^{`^Hwt&B!BfqI##| zmk2{XYM7%xyX@;AG^%WjBcj%OJghuEOUS!#oGD-$>5`PjktAVE&To z;d=Gm)7qD_tHH>~;RnA&t8pvlaEZmWoOe`HJks4hcd#hhQU9kPK}dB#AwIKX{(}mV zJ%Llnc!gi`SWZa5R_ZIXC#KCX!97ztS4)4gBUORch8&yxeA-oK3}`HZbi8$%E_FDT z_KFH;1vd&CFyEgWxe1Zeo0g;7?NP-u_Y`}O<-rFy{VZ412Iin$SY zSq$ngw@9%Nh>sW#8zlKwIDhh?u7ijnMy<`T(15!8wnwMQ%??M)P%MFFR3HxT+Uuh5j`CW>l=YYZcl@(U~E$9HZjjx?$Uc%*~ zWg|C4K&}~x`PfUzVDe=qU;FK<9GbG&UyRO)S~1YrgMcNLhVC(Yy$&%ojC;F?37-im zm%U6~0^d=Q*&%}LEn`2KDGAz~R*#_7ul3y;EK(7hi@3B6x!sTltDwZ!!~91&v;FF| z3TcJxmsatJ{Os)j*n-h_RafaY1{VU2V@`qFIP2)TjMLrCKH*$LkECe506Ha}5jqe5 zsL~?s?tCGlPqB9diEmYZ3SrJpJ`*xWSE-G90U&mF7xZO{5QY7sYr)$Pv)$y|3Cz^X z472S2Yxqc^>rDEs_c=t>L}Au*+K)@y4aIf=w*%7ZbvJ1YoZWG;gJ-rs z2u5;p#R6uVn_4T!SYspW;ad3SQC`JBurGSs%bJ(I&6*yi^xsbM1amY?C$ZCEt-TTU znIPu(InrC=XM1RHQ3UQ#V|K#=j((pNp>DP_L^dp-M=Eyrf0e>MFZrD(IqVm;bx%Ki zA-NY0soD@?z$?qiya`^#be{9{lk44fX)nKU9fBLEGT@%;-O)FHA*f?r$9lHLO^ddZ zW^dan)h>@M=dx${y_k87VC2uCvOo>cfm$xx0B{;@&<|DYLPYsR)ZdVaGj<~HP+7xN zI^%No=Ul+W*b9)_u!#AkH1K~EtjqUCmv}neH;NCm0FYzUk%Z-cIEfxSYi-b-7DUZ} zAR83$@>yluaDVZFNO{<`@;H+r|rUaUsW~^SNR(YnH`tN_FS(k8ngZOL(}Zo^mmh zuQL@#0UEsDiol(i>q4HdwH7Wnx&A&5Vq~_9vS7dcXbX&6jjo|e!-I+=t8LLbN$a$)NX1cluiXms}31-cM>P^7#Ym1L42 z6wMCM>4y+0bJE$WH4#Ct@dNRPUV+FY#Oel;*hhI$<+B9z`dA8kSq|ug7olHV1*$Q% zRdUcr0C%k^l4QWZ+z1KRL{#5it-CMxX}OPo)N{27dZZunF5dP#`b49n)e!vKHF^L~ zJOobX;6=V~hk)lsK;a{84Rq9m!Z0As8el?=yc&=mW2!SfP<4rsgpWfH{*Lxw6rAPJ zhJ;F}{eu6RE#EF|kaY(tm0IvklF+ZMQltM~D093}5ctTmTwD|Xn*Q%z#6HLCPro1d E9~Tu)#{d8T literal 0 HcmV?d00001 diff --git a/doc/images/usb_gamepad2.jpg b/doc/images/usb_gamepad2.jpg new file mode 100644 index 0000000000000000000000000000000000000000..1a72eb98efcc4d2717bac0f9cf1a256767cb4e31 GIT binary patch literal 177455 zcmbTddpwiW-u=IxxD!j1WQyBj-6E`dxiKpU3z2c>MnQ{eJVfHZzaw+I!cv*You}yneR->;)U# zo!y)P3l75$DXA$btzWOCuDVf04Xv)Nt);G|iP8UU zJ4SD(o~G6goWaiB#-@8rb++%d-fLq0n~AB(ubsdY)~!=gRNA7Xw8cbMOV{N8^X+F9 zP*sp!lb?pewgMSd7+e+h^8>&@?^6!;pBwn!4JHGZh2F5jI>q(SKa_3&GB7w?Miwq7 zCo2p6cP#WekX4o2sAuXRuSPzF*cy!5lW^;yg8sf|Z#Q{2O>Q$g9g?_Caq|{+4bAO4 z46uefaprq1EUl~^e|K_radmU|IdJgM;Uj^TZGAT%sIA~GtPmUQLnwdCtJ z7`M|i?%chXd7t$tm&?oJ=NCNw=Xpu#i?WyHuim}?P+cRet@|i$Zu#8W*8ZjATVMac z_ralI$;j07jC6KxeqnLx*ScT;{@-Om_y1kk|6^UM(7I$~W#O`jU+aR&L_!-}RaQ>V zRDPoa8F4CDZR?%{1=PM<51+kVr*Gyxx#@IBlj7!WxNqC1el6`kEBk-9u*CmgEBikS z`@h%K0hHh{=;Xmwfjy9BMyKcuW;fVn+{*d-1aH_qn05vKY`>cmKy;*pJ)*nTabz2d zbVp(($V)}q5_XV_*RuKRgmbX|()-ou~)ef?@6GT$D-; zUFkI4bQuVF617G1HRh$ zG$Q^x0gNb25USKs73U;wD+{X>(1=rQY?*QR5i^*yiiIIP;Hq}K#n9tS5o3&<3If%!ThZpiYGjv+YWjETal<+x?JfJ6O z#E%e6(8=g8uPzk-~Z8I5H+OXnWv21a5_Z^ki z^02a{#E>42xu`(zbEUv9ekx?(Z_U`ev5LB&_ro&9GdNh^8a5}4L)#db<#%EezsU2A zO1#uO`u(a?e@T<2DMn354-Ap5BB9=4GZf0GdY_^y}P|a3%bz%h6n?G zf?yYbe9!1R{+vx~y;~l!`{E0|)s7&$J2^^mVeOptOXh{H{g@B&?ow?T;dYZ<*^{ex zgCb^&okV{}<#<(Btw2zNULoyqww4Y*_f~*S2Z{ew$06lneoAgb^kylSC?Se z8%&V(c~;{xDUyM)U1%rb^Lg;Wx0a{^g7UzOr-3ZIrCI31Qa?8(At+ZP_{}_Q!-S)Q zFir{>@?A?JhY>5Dq*^BfsKE3~4Rm7-V{&-9YnMf^^n}@Zy@uF=uP)QM0zP_t3Zh5i4 zK%%l@dGxyQ<>FE4R)Oo&;v8Gm$MOCP|GbkhH~jm3|62cL{`BYx!qdg;QjY)IMw-u< zhX$&G2~`kv^R51vtNVlkOAB{dx2z^lqbja^Lh2(H5;p>{j~F0mE?45xnpy-q)k3l+ z6P{psTvUk?_R-x0IV`tcW01c2?rjF}g;k?8!7;J7Hv_n1aT%Z_m*KFVu%6{^=1hSp zqe@QGHJprO=o=YwC_ol7OGq#$jYo7f8ODh>=KfE z2VHHF=b!FsML_ZlxiX4&8Rt+s)*_ksO$fG}p6!(L53~qGqq^pJb&^G&Ba;ewGO819 zD+l=7yu&em6qpTpY=0fRli^5E@Y2B7qx*NH`ioN~1Uc=g9#l*uPDOzuJMNOtk#bTW z*k!1-(&2ULT#2yKS3wsYj#6O@b86_w0ZOj+YcYGR1!QB#@it9t><>?%UEtzJRSA}& z1cG`1Phx-ROAYOsaykAcF=;q9l%PnPaTUnNN{(f%9SBZuBwnL$n6%3Xv&88{;Tv(G zJu3`=aGj3U;9)`$D>KfP)!=rNw2!`}8OLtXp)+h^M6fK8Wp1f@zx^Fxc}{=SE#>0M zaHH<3llqWOOVzQ^R9}SdvvFr^gWV-}Bz$y<1geH`#zytKf-EN-YVD0_1l`eVJZYns z!~wlbZe{^Oh}9@@?OM7zU+4Y=K_N!-gjWC}c##C5B8^EMDerA|ztu<Q zKS#2L`=R1egj0Qs5NBE1ed(jazQh(BO;grYuUeanS0ud$>}zzHF1R?Mwx8wrR$Wrd zL90p#D(bqhj*9fY>Y@96>(X)-<`OMkTE3^wY#OD`x53}+s#??SUR-)o7{tG^dmC7W zm&7He>0HWpdlj*i)B1N*MCTYS%QQav-P+mwE^V>eaSZ*Na-mQ^?60t#w4Xqef+SSl zi>=x8hMcf)=-S;YF!t;XEsb+4fjn{Ua`_Le#`E);GNpRQE^KoDV#W~Ph!M$M7g~-T z+9J+P31-gfN+$>#Mz#dCA8CvXS>Bqk{@L87nVuV^H!coVv|L0^6d%dJeD!-jxk-ZA z5?0##^O%JaSmowU1W`?GVH?@whHAfuus?EaAag+xA8v1T{fJ7XCYhwQGN+2rgLzBg?WZ+N}*f{s;T({7Oe z%>#A_^rdawVQD#9Z5y^V4VeL(xn#ZmX$;{WQPq-rM-I2P>(^yz& zjiEIw$PypQE(z|YZ+un}j_6p?c>Fu!litSE$7z9Q9|^7;YyWzbeR){h-h3u z=%thC%T4g5!#U>ySCwhF;WOfhe^$TNEiiXA@U+gJ8V;Z@#ec*1bjE2*E_G{9=De+} zYV&rA7XDa`9$K&-Z?iWS)SkyUpP1S-yt-HbGkuHe3M;U`mDfMWfjO_6=c^_fB6M@y zgNNTpfe_6)X+N|k{BmlljTAW z`CFksdt?pf-IyY%Sqa7IwwRiv+S&=X*0gGkYQ5$6;(MHW+$;w{X1N<)$39Afu*8){ zW>a7ks8~Yv-*iE|xlR~>^zp=3aS-QFSEO*Bfgj$moP)S6hhy!daAXpkAk6UcrP_L* z`vo^@4tT@Aqw>-TDvdiHu#f>48@s6e(r;4rQPvgY>P)(GqGn?@h@ga8S~09UdNB_t9bTj z-Q7JtUy`?095OdLKV{LafnI7RgzS-l&2Ew!Trqd}d*ST6g%@Wi73HznaXxG2z4xA} z=biGqG3j&QCrDk@Ydj&n-u4q{pIW)8gd{dj^UvnWXJ`_XqB)v@d!x8M1f+bHwGV7C zLr1Cf`MSHVZ zz>sPPb+EiVhA+T!c_^Uij^)Tbx}5>Rh|zWkB7RkVZ|KQ(6gbDSA$K!mjdY~sPLz_N zjkt-RKqI?2{0~XUZYd-UKERhil;T=X0%OX|o)7(GCil+_g-S zVV865x6oN4)a&Rf?$~Q_PRr0kj(o99)*dH=^CaX$ z4oK5tmz+afut3kWlUGiV2}t`$gHm<;BDdj4@bpx*z10vO2kN-X<`vJMD>-SEF99!U zF{@&~)$)ej(Wla9ZF7cBI7HR-b}aZDObhx6&Qad}1o7Y3N@sHZ8bCYS1QQzmX#5md zG`VjvxN)zQ0xHhnCn!3WU==WSd9SU&q%87soa0YWOkh4B%H?fpr`}rOtZn)@eB%`% z@So;pMMNpw+~^)SbVVN=+#lY1a3L87+o@90Ihud|H-5#-wBJk~-s)_Dtq}@dswU#2 zi)|Mwi_Ti&un*)fdxg9&Ik{5!U#fCKZDjguV{k#2^79j}M=@PQhCS?9py~F^S@Yat zKdr*&W#b0m&}G9xJ1pGMwRDeV?P#0C@8-9EVORRw51W1K)UGC4w{eFf5>$_B%VXjG z#IlaZy8^mxjSfCfP3bH7M%bLN@lk({m`_d^BMW_iX*JmCua1~3K%GH{nQNvJHMxEY zm}~&&zwEo?Ok-9yWGB2zN2fN>;f)3Yt>|j3)UKS{xJ^e2RG9)pP_<1w^c{}Nj^e=4 z$heYB&X8>wN{J+6K{O;H+_AP$gF્IV4T)xBVwM*Q6g7Tx4$19E>g-PEi|I0P z=ayn>(L?v@baUeD-j`RwB>g=Gdz&34{eDI7ke z-gsFvZ37!S;Vw_nF9KVcrla1lcd70KD}FHzoI2goN6B;l7>G&L|edbxf4{*yZZ=W^f&8Zkw3?Tkgr3dVAY5;rSbW0^d7s1}LTA z0hp%&!#kJ}sMDelz8x2VijN|}pSuW5hIqzBKw~gNGbM{fCa55A5qX8DQwHg%7ub4> zBIx66O>63aut*${Th849QTlh$d>=1gfUmbG#_4#W)Yx)hyB<&C3iawHY|mY2A7!AC zm+tDRhXa`J9tMnceYfdbN_S8iQDPbi{`nnr&4ha^i7ci*5xE_S%qZI{uwE^AAghD!+H6lv24@7?pb&8s+LY>WicIwDJP0TppD~~q6#$}mC=u0xgDBu9k>T#jU_aD@~4dFocu#Pl> zJJJHU!ES9VKPkHeB&AV!eGk^0OyCk1%r}=AE*`%m0()q)JiMS@Tg6E>f&=eqO@Ypg z2ed(y!I)U-=)CTHL^YU6CoJ=&99iDPh-r$qYR-A`1SDQXS&iOWDL6v&rje{;`WHp8 zRdMtv0UiV>&XtiX*FSmyrun#R*Au66d&MYX?AX>OGOjtOV9Bj$fy}v`Y1Y8y&`CE6u4yLw=sUCdqY>Zk2Om=6VHoYX0R*hJDpW;ZNXD%(gwO7kK;4o$;Q{ z-`DXaLC8L~oBcN7TD>2v&%)?3^u3q=Gym(>RN7eB!xuH1X17;@9TpYzVWxb^j}ZB;s-KMkv{{8b(PBCv)6-`5^icztK-)55@N;1V6a=?-_^ z!ss7$ed=)m_{Cdh%ZvH1KJQ+q*5mre;pOXgBWp4Oe4T8g?+*Yd%#%+skyV+c>@BQr>+R=Y6=q1@P0WWm0*%mTy;v zVej*GzHl)guQqheuVtJ|5g}MBo=N{so$-cM*Qc$t+*;iwTsgJ5v-EtBQ%rny=8G=M zJ-<9xx24`TW>mLr^hJC%>B_>1=s9C;iP<^$m;!&8*t{}2620X-lm8;HzkcID$H

?zCPTZHhb>lGi;n5iluBpq{#sVIX{Ep$+CUKR+gk%X!$2{yKvhwIEHIFV{ z<6b)9d!Eg1p(i{xYiUV|%5V2)fm6DZ0ToFG=KgQIzLDKclufqQ7($%PrjG$GpVJOF z6BkzsHJf-9Pq=}>)?9!0zY)IFi*W+%hQ27fk_WFa4Vg#FXdX)>+51CVGuX+cziUZ( z$~in>*_Yt?wH|8IpE=li!(9RZiIO*M#d-SsZ$D(Pb=!K81vtRxY10mG>BBH|Fk*{p z+4}r0rtRyC2QZPgaeq8P9$dKJ=SfmK^J2-Vcs|)Eb^gJUBABZiy7ncq>r)zML~Kc3 zWDU;RU-#SGJpUW((SF@Et)MgZ{7t>D?&g(+OtctZEVU9GZ|G@Tkvm|0g>#{dX^B{d z8_eL2AStgFp7Vc#f0=h;Lx+#=GsN7eKWATE_x^jyUs27gg%4jM^LEv4XZ=yx`e|&| zT&G$)|EZ4TCs?2K{@;z4nBTd=Px<%3YG@M4qQ(=s7Hg~#--YS-+dj=~A)mw#3j2z$ z6(9TUwkO5Qap%c6f5zx}tC3OZ@F^e_vtZ z71jh4xTI{<)BNT)j9SM+j{DKV&=*cx+pe?8uaj1hwDvKA5}u01Q*fXeB9jIXqd>Do(-ub|956 zUq0q+l)lkgQ|fiY_fmU>a~b(bdvSU+)XwH2N0060KIm@@K7jC?j5)l#`&n>aZBW@? zuWWyU*!U0eJ7+I^o_UfJy(2sSXt(40&X>UpIyu*iIYqm3E1q8)foJ9sMq;nmyZ);b zu&1l(O{OPszsA+xDHm`D`Yn&Wb3*`u0_)4rJWCBFARDMoF03dk&N^5<)_j8{U#%MK zck9mlJD4~i(sP^TXkN17li-jcVMu|sJf>!F`sirS?U0g4qEb1{SHW%y1iGL+7nku1 zkXgRKFc|s}{-X#&svyLkAZ1a3Sd#IkM8yeeSjryqxKOW4IOf;KApQg&?~M}P3n0~& zplE30Eye`=N6`EwYML@jN^*RC0kOinIeL^RNf807wF6-|6?;ttbdXTjuTc~G+5f?8 znDTZbh|tSH&<(R7Lr1@uP&<#(;mmRy0Iyzm;{!-Xra~Pn`fP3;f-UX$ToTE!Bwbb2%})LTp`r5$wEbn-J)nS;{s7Z+nBS=!Bn2! zD0HyijR>n*P7rp~0b)Neo19=mZg)a6LI5;|;8VE?^~RUuO2i76HNm0YjWouIS3%aA zM1H#{3Zd=50b#Wx)?#K(s>Ibkv#|}Oav$=BV$_By1CYXl7?n5(Ps{}`h=2;>sRjq) zf0fn%UtSN_XTKZ}$((~ljS_{EslE>BPm%7hI^|!q?2tj(C}G$p@*(Y^HcgW}KO@N^ z!D;YOMuc5#Zsja@jJ;YA;;=1pEexEwDhz7DI6$hB#)#WnuA~uCPietK+tUyBH++MH zba0F19pZ+$qdQEEzaG&?m+OUh;;HS!HjGOK`faRZ1Lt&Fz+;2rBOfqxXvf&WtRMQ#$Y_>NvFrL zPq~v%Y}}8x*f-4btcJm4p6(NizPzHj*iB)Dr{DGaoc`P9Z+*GO{^ltT(rn7_Wyj60bI*5# zMs(`>eAYu_SIVDgx^#I}niuq~e`eHpJ7=U%D`=PDmQU#v$d&qC{xCYklV)-4N8FJ1 zuDg@p?;a;wALd2HHJ@f;;W5PI(^#1oMa|%#jq6MFp{!js6d6i#y$`J3^e|xe@f?Ii z%7)nnLznKkwGu2Jwj_cvGbO|!+ei+L=G`w#l9^hNa)s!ol+Y0>dX9rQd2;Ypw5(1x zb^RG~BM#`u$7niBQr*2(s_@aA)gMbFc+fh?Z&X)w=w)X72+u3C+(F-v=!w{NJEr;i zP*ATZb{Jf~TuG#6w?O@GrbxxaMiDrsH}mw?!UliQ6QqZC zgnmcHY$MOWkpPdKC^Ggy`VznJMobAZll48_cf8QdP|r2BMqBT!;=!Ti)$F_rTG-UGX^=%2GBJ+8p_Ph z?_I+iUKyIo+tRrZ#+ChO4d36ME;_Kwv=*N~W6i9gZ$GV&XR4kzJ|=NJs>=d_lV)Vo zUd2!gSs!$YA_&xRDK&3!$TOSqJjapG5jazM<&c4Aoh2|#dSY1B&j4{$N(YKW6E-E&*g%>KzeCQ6iw_YiB z6YY79lOMN@5!KkYyRdIZ;L(k9nlWgF+)Lg2*Orm1vca<)q~lclhcN@ko=d07yahy= zhpQbJ=S!Cy{0=^edf+$Zv$)H)Y{Cta(ZWa_5-B>T_g9qCZ^Cc&We&^UaZa+d<3V)3bpK<5m+Mic%77#>qsH%Gad+hma{x$VD;Z zl>-eURgK`^&i!Q{8yh@g%~;y_Zd$8zTltHisgDouBna5QygH3r^Bj^>~oFsz5t%!E2ij(iiftAR|!CvtG}(*=;Z(wO%8CKGfkN z1-`ydC1;iY?Te22r@8ow6Z15amGWZkj0uk=W?;|9!bB$Qu+|Zs{)fdXQ@gV|4i$w` z-tR(RDU6SbSlxLg^!bz4VxI+aVeE6KbmE=oM!A>E$CLR3A-g{9Cc2(K#7~&@;YKmL z&ss80bk#}m$lhSLl({Z3^giG$f)&ynYmRjE)~g2|d~;oMU|Fy^ZDSHq_B153qJ3l> zh-k$W3+S|S98=x#1rYv*as01Qt8TfmvBMA(kw$X;TyP}{=B zSngO@ONofu0yH7kxtReyr9k*-NP&2(_?}?9F%1w~XygtC@M%q?jAeO;jS^tCeTh_4 zhS?Hi(m7K&4o*f;&CUqzPD$yz3wd!?xdOP#B1eoCL&%Otv_42@k?Vo+%%gPsY)k`P z+sR^445{KyC{W@}W&vL-&M(XEYuS|eD%~x)PhzonNM6ccg@B%I2&Fur$MjpN?^q!~ z7ywR490nk6i#K&g+O+_s_?vp@0dlili%JH_T@;%j)W_T{2UD{Ar#P}!b(lLlVq$z= ziT%@TQoj@AO^(ExE;NVcRP|-2u!wKEOF%f8Efpl^58;$Bles7W6Kb0ge%N^DtgdI^ zgS(Ol>zJk;%qvq3X0AljSw3xv1sqc+*ADpS;VJz&Gh)a|THwI(rZtoiW!-+A48aZP zs3b2(M&uNFDcIGu#_OVCaFtQ#dcwLEfTwTsPUQ*EyrnT&OmZ5itE$hLKJ>?Vo zyTr!F)*xS}YI%yFQgfo%{n*NvAJklZE4~T`I+3%89G(@y0M(){8^O;LMn z!!JV&K(b~F)%hyX<5=_)yyS3FY7vO)sa_Wum43j!OO^j!-M+Qr;=rJfU*VMI>5jot zgH>DWID)aX*f3|+pR{L=QJxhqSh*UhDRcJJF~3AJ-Ie$|kviHMx8h1*!?b-Dt{-*^ zsKlCI<)wb*^DcEvx;*5pr&dQDPMPf)(>_Z2yy>~(vyu`h(&6=+9e;n~1ITxD(;XoVpJK8;7wwRlPIU2oEI)s6)?7^4 zn~(?B#=;*@e!llry?9@efY zr7tVq>t!c>Q~s+PWS596?OF_lzCpHUNbsmpSNV__?{T>sW2=M&2)j$~9^5J!;u%5-BQz=cq7~X(trl0<){KK4_DveI-pogw*waD4 zFYKt;*&DrNhg_M~UE3s8BDl)1Qxw-OA5L_GF?SV)wpwj-Tu}3b4INhf=aj2{O52+i zP@xuOJ>FB0IHcdc2RT_R_vT|)-Roz?M_03r?PC4vQhToMAdhPy7DZKazs0n8qkCxw z5?&oRFYwgWTCtqxHTpVw8VuUIgP=HmYsMRSe88p=CmRhR4!C|+d)8oBU$=C?BYFp2 z-L0`TsZHX>j?4Jk1-TWjx~tR!Gg9tIT!!(9B48U{zdJ^(laT>Y3tMmRb5J|`wozX~ ztX(O{EiT3Cqd<_d#+YjqDtoma*xOehEDc?8+W|a$i7ARCRE?+NBvK z&10T*I$_WH#qRFU{=*U9ZiW~b7_?@pn*J6d+_u#0%D?66WU?`-(HF~wiwPoIIKZ%7TiC24fCQmhe%u~scl6U3To@4Bj0`XscqTb{Qdm)+U}f* z)U{GtfIaFO{-&K7>25t<8T{ch>J29u*1P8Y!cX}}^6WhNcG=Tc^X7PYvWZ!OohH$I zcoG4LzzL>2bxOXq;lPS`FjK{8H$=We-CU8lA0j;pm&bwQAO?!%`#52t*aC{Y|Cc{l z0>}`oc{e#h%I_~wBNNs+`~+K*oMe!f+?C~-6|`TF2>~QPl|bS#D%&cz8$!EtVurP% z4GHGS_x5MVZ^!mC5DxAXSV`_IuNvB&*jogH;1F^;Q9x~}$xX7qO#t+8q^}UpJ!06L zrmL~Ai}4+g=zFn!NfNi_A^;IjvUJo*+lnKf?zG#5=7By#f(=t97%H?T7C`&U+|HPG zgF-qw88PH85(S3U_B1Z%Lh75)7eU01V}}I5_)?MH1j}rCs12pV$Cq>DwDCe*W+UE) z+^*`nCu&v##Z-bFmb=1m=ai)l6x)pyFdRMRQqHv(-?L9MnBl@iihehR9*V@wMn~bd z{i6P|fRnPlAvR}IRO^P3sLUaQNuEKOUk1oZ7kX1&3TC-O==dyh78?t5co>|+4{&IM z;w;Eky4*ml^(v)tyO(2Q|9(+cL~aU%NZL#na!QO?MQbZFcQ)5H3F5Pr|MGT6H~P4B zWcWwm^TDX}2bDH$<3Gbihc98Kc#_m&31K~Rts)MWSq0{K4Jt@~*0B{~Ck}{cLlPp? zs}$reHYY!c%?BEzUEVa5a#FZM>FYV3FR_dsUt%&_Oq*?z$eIb#hdT#4;Rbl)L# zj@D4OXds^PmIc-%cyfFL_h!i9F2>2BQ7^}52|uiGu$dm_Ipfd**LdcLKjg39@(Wlg zUuui)yKm9q+S7CE!OZEvM7(dp12)FbYIk;dZ>hV8Q>*0j(?fVTN= z;civ&)!ZT?3IU>Kl-r4`Al#q_R0}B^G>lMl$yZy9++Y( zo4UB)cacxKO0LG^B`^si9xtK4MEjQ-YZq*JLp|J0-y(6gs)i>q@bT`zQi#Vk2B?@Q zOk_dS6KX%2n4a1<;QUJg6ob_BIq&G3;)WaD9jiFml?20eRdzXIx)L2J00XHF$?Cc) z8A|62hAF_V<=qz`PK*)5(g_H`?oj5JTw8ItC6pH4I}7LSTvBPdkBQ;qlJ%KaLJ9J; zbQh8nf`hs;O#!E!4QqE<@-{aN&Fog;^t^mv~Nn` zh-X9(Z1+m4CfDjGI3fEiHtzWQ*-do|1$zVJ1W*+9-M@`b7e?LhFxAGe++{VWld)FE zcp?QqsK|Ktn4AART^l`p-$0hxLiZ2n1~}V_O*yiVhwF!5+5F z?cw>uu#fS+wrEo4Mnu z<@Jh?6Q9nS@!A-Dv+p=DTDVl!4!P%*JeqfCmBcTwZ}hvMWt^7^i{Pkw^qWm`1QBx&eg#9R$>Ng2#{q*S9waCMU52T>s;QF9D0Ch~UX?}^UC z<#NS28{#E0Qr(W4e6UkHCtAPcT`<8#vDmNV}xCqGF6l3{h z1|VKRhmI%*NZuH)+u~zqgwGMxWJgYiB%2Y5Ka<)cPPtoY;=>-3@>49KZBg<9xiYMf_|* z!uK9EY4Ja!&VA+|4ktxPeR^h}7~D-oW05Q=~;CkGH>sR#(gCZl+=gHY6noHdwmB;D#!nx-%C{pCsq<%t;} z9KyBr?uNetIRCRW|Mv(pg}~62vf&p9=D6F4AuUU*U~c;zII?rI zxeT-V?Er-lI3b`H$9}QI$|uzF#iudi^ij77NE9LAho!l1urPc97WXZMBoo1%AkN!D zI|1$xH6(0J3!(sSV*uIJYE;UBz*Xfx83HKwYoDz?25GlC_HcJ%R4y9cwnCAxtx2#I zdt&8PbgxctZ>%8#u2UkIah26Qxtt@;qCqaLXbQ@iKGbj1C53E3O!CfOlu50q(s@MR zu-c^(>>x??lX8_-1l8Ksp&s!3T^)&`5dB-nMXNG@f;-v@_=IZ6pNvB(#rF4L?id8w zEO|#Z@?}Zz1ii)4HGIDQvmaFJ1hzCZ=RE`-L&|KotyhOi(J-cvMGB$?r$91nN)h~4 z{ceD1NbxU50s5IZd!8XWD|{^@IT2BOq6iCx`Lu)EMb^O`DJRvsG?5S^u%yk`{KrUE ztv4XrW%Sp?LSbk1{(Mxfh-8VdzqvC-6NL0mN%<*bL&a8kkF(Y^_$e0+pajoJ+8XL* zFO>PDS!_+c)Josb8;15FM)R{^>7G`IHrt_&G=-IdM%l>Oyt1!4Qo-Vc%Vh7IgrE?2 zBG_%kilZhHOARW20zW$H)Kf%2Na{VD2ya?1tVV_DJ>w4b*LsFW9LXOb9T~O}H_FG|1H^u-hg!&4L1CKF{v!FhEE-CzVJp zL!Op(9E6U%-AhhN>g7-ua$|s5rZ^HOxF4UsxWJPi(7(Q(h@q;WVq1;2eIxlf`GnmY^0#F*c z`|UVZmRX{7X)t}X6ACu0JaBPf^u}Qw%Z`bkfW9MsYO@Ia#WtFX-5ue|p_KSPsb%|r zg5P#OjZ3j#YJv6nnf|4g>)-KD*wOS0kB@o?{BIqLlhu@54qn%OBz}Fo^?(s``!R?V z{6GZjsZ>sj)*<@LJTKn4|E;k2#llgyR}CI_Z{3cqdb@Uz>-F!8G*z0|g6wY7?Bxy| zyVGQj3{)Ni;?)lzbjWq z25G0?`SH1a;Z^5_kDm(Ps|3)83=LO3|43}c*!Ivlic|00{tYq|KXte&*&k}z@ELvZ zwA(-y12|vurM~6WV5{(0SVaWafE~?O9Tl^VABGZcy(ODva`!xwcx+Gg1-E$GkInRT zGEVxq>5Ak_Z&$~{oysnV6G_YzIr5)7Kj`EZ?dgboaesTtw~X<^r7X9>ZBQn#Fyj*D z8)4Hgr_4jq_rLX7{^^kU>6*2{cfsaa6KbiBDXXyon(m&k5kIx50gGiL^WU88&)J8k zF0`FN)}=+#4mXB>Sum^lhdQRs&L4C&o34%CH9Na$FTA;ZoF`dmA=jZrf8R1Wb1Loq zOWcZRkJFIu6PNi|7hY85P2BUmK()?pOV?YZHqkde zUAHZd@79LS%+c}!*vFuNGv>!V-LIu>Mzzpec6rGT(zm0gYV#8seS;vLD&;Gl#Qg-c zldgGpGc8xBRfBZ2fZFnwI6+X+riOZw-JuY50zWK|K_(*m_{3ozLaSjX7;M0w}f=6JT2N>s2V8>OGiV zDnnOp@t#@jz{1JpR*$Yp7{nEl%viOB!1%m465??ZsB20p`wq=!;05`%Xi^W2uEx`@ zM{|SJ67Y%>@(?X5!PUF> zAWL-(Qo>~+bnf5Q&!2usB+R1>HSCfZhID^4jijP-lpX3yOFhr>%Z%d;(vighK1mCc zRBM%Z&0`Vca$xI{PD}WjlG?IJ zQT=T!qz^$sXu$09?gfY<>|1r|sB48z3^f0OF2?xmPf5|qHUzcve0YO3NhVx&{wEj} zXXRvyVGV|L>}0+>K%HDu=Nmh)D5GBRon~E-OT9c*T8|wOpBv%6dZyB2AZmC>L)9wYLWPsGDu5$Q4sZbf{z{ADnuN zc*=8V(RBIlpe^~@U~dgf6C*>3LW$={T*-GxvM}~&8a);Jem((p9-j#E|3%?N>+8qDV^oaY>leepIa$)dk zSmv|bj+krq_suIG1r4EKF+z*_3!L?q57b6ne)MbaV;@^P7H9swTmR*umq+ybES*J& z@5fKvFuvM$-5?G9KJWcN@xzGkmG55eDpyK>tuus!$rRt$^&P3O3A{_RUp?3$Y0}u< zSS%xezmJIC3G(!xiH!Df>~DrgkXxPafFo^_Pp-A@k%JrU6WIGcEv$A?7xw$OjJf{K zu#^=~E7bP4GLu=6&~VlOI)eAZY%SQWg{4r-LHK8pZ_clW$QNPzZP%pSXTw9Axw%D z=99OHWW-Q?CY1=`nzdL-Nv)^U_|TGA=?vs(%vI}tFCTMT+3yIA)M!QKX%_4DQ&K zbF%6@mD#bD_G0=r$+4f{!~S@iw`GEkjp;~eXrXoDYpwaw!!NeH!mn1!)?MtMhFEjd ziNEyKsGk;=o31^9sc1?3QbTemO{B7IdX5W+v)vyUoEi@HKyu$~{2E(WwRv0CgUH)k z@g`p|51$m`N9*6n_$|J{v>3TTqkh)4i08YJBc&1qPgj%1Nx3Xv= zJ_`g(JTCGj#75M6f@-C2O3UqtJUlc>#qwx&;P|gn#yodqKxM94Bd@6)ni;9r7-)tR zA;IprW6-k(!_XEVvnt%L5R~fF_U8pheu8H8>9`bV%+R;|?QujZBB!Vx!^PU8&n>oD ztiO2EQwB2Kb`{bNUfOWkn zEVLGM9}U11ow4{70u4m`(%bYd`QGHhdE12eo#s%&E^lAV8fs^H=l5}{{|(+9viu+I zSd4FJ*k+6AgKv&3G!~3gWS&7WboapA=CG0rdL6EB?_U1l-=fmaxl!+MJnO4$u-9EP z)K#rSKB_~JxRfH)Fpu{N#v#uS-J03%BMkUGRO#{PXCg(zMoH8Ca^>ZI-k$%)Gk=jG zXxqrxgXJRr=&juBmgoNB-rv4WOql(2BNPkw%SPAz7P!qrL;Z}Z-};W%Ua+X#A5UI| z&#Zlac{6n~$BVavF69Lg+E&eELhF)x&6`c%1f_%_C1)sU1HL} z;cI^!tNSxXxy1mfCckMcV#~Vl3#chH_whDFyZGeMf(|AkzsLD9AV%oz^URH~ zj)j#jiEk0E%spb5{L0%v1sI;RKV`-pKRgDJ{f@gSIwgw04_hU1kT9_7nPZauZYj`^ zp|69(T<(is0x0%ZLT5WL+AiDd35@GkM<8aZ95L!-$)L`1{#rC3nbam&D_^@xQ?!&!feINtYFCStQv?7 zc60G7;olYVbk+1zLm4E3CEmtcltY2*op+et9KB3 z=SSVD6!CJ1f<_KU4*d+1kXx=_Jd28i_vCy`3vIkS1Znt_ahZ)GG0UnU;wMC#K|f?s z(sFz~f)gN0xZA9HHx2WSuKFsy*?@6u^F@4t{a^UkqOWm8vz1}cC~?tEYWL0w*tZAb zdGOnKiK%EFS8mncnrHN7a7@`z}8FYznbw!~3w^=OqWrV6L$byOIs;X385mkL6fpAaj0_- zSFsoQA}P;yU|9r9Xo+p!cg{-N{$2VDd(DGVX+6N|##%y@eP+}{-JnyQKRe%us|tRy zzc07{J=tJ>JQtJZfBX_uTVBThWqi}ZGj+N7bsSXZ+Bissslcdf9k_M9k!={aU~r*TNpNTl2_?JI%Fs{wZNfLGK>eJfB(eSv?>Q1`SPcLhI4N&aUXlhsiu(+?vM3 z8VC&0Ddvo2b8yXZ2>Bs<8OH6Ph<*M{{QDjo`N+QPchg+8dTcSR{s4Ye)!) zka$gK9;J;pRdh`5@n#NYNVml!A=x{=vDCnUh&S`}PfC|Sw5@lejUv^K zow2z}_=XB;s2h}m+cnp%h5cVty?Hp4ec1nhS*HkhH40%Yh2cgR+097SFk?$0DlL|> zuVWjj+)c8CXtGS1u_WY<5Yom_VT_5gGxo{8ul+vV&+|Qw=l91PaU3~vHP>9{=X{^< z_v;MAujX$U!6A?1wn+n19au~3rC3np-lQ+Y=4fupWa<@h97`o(jZMSRUs5LHz%M0- z-(IwMFOn}6c`&d2$q@LrXDmcDkDu{_R(yq4i-MYAIOL3f5Jplpn&VBL!@rl~{q%+- zuh+AjlfN{k2y*C@UtIi*xVl)?y2s!0*e%+8aKYf&N$Oa>sA*x?d z$kk>_<6@gb3_FmO=z!nL1d~F{!LPmfF;&NNOwJ5=a@<+)%0YgMarOx%d%SK^&_Q)# zKtnAlB=R zn%de#O~duEuK{<_Cvc(+wbc7^Pm{moBzb~>nTg1Af=q^g5U!%+X5Hf#CpU|@u%Eo@ z9870C%u=FHXUA08gnreC{9Z2DBKzGIyHj}%WM>6F-h9&8d@38qZM(bJI5Bp}z{I!`d`fLXrCxHDKd=t&3?Jhim&0kxWO<^a?#=#g=+u;1g}3@y5|? z6n#=CFDrIid1LN9qreKn`9I6-F@FwdZJkm2AU~hHSoT_Tq&Ir(&C%5UkLFhm49uvJ za%r)W`GrjZqTK3Z+mQ~5#Vl)W?-)du!nap3i|DkN4A!HE|B}`#?%9c4Gari#)?^tf zb-7r7pSu-s*Az_8V5wX7n5#YcJ6g=0U1X`m>l3d7_JAjt-Sf1#MYwjj!E9U4?VpOz z_IuNfk_ii%82n6j5taA(-Pd+Z4*ly?V@H<0Ly;%v`@-U)^sdh0I0e+AEf59lP#lLD*KUIo@jEEfTpvoWbD6S5Abp$!~`LD_4l1F`Qtv2{r`V|E<0 zt}5g&G@suFT}X11%mb?~J?Rhd8atAehhj@1Epd-(XKkHTlz3bdpAPpPa8Cy1PGs2Z zlh1SZeiSs@rrK~)D7I8FDS{kAb#Ish@f4OplX*RdwMgsg{Jhcoon;I^_$Y9Vc1=Vp zB20i?a^>K~4gH=cJI;BbwZ3`FUpmn1U3m*+A^0NK_4Nf!n~&&IOUDtPhaI+9*Eg8& zM3UQkZrYbkr^h^x%Pn~+pWXypAe`FeRU*Et6)JXfjTLylDZ9&RE&JMJznkjon|$W< zP_p-OWWq@=wS2^&&BO(RRuo67US_Drz>A4AjvJG;FC@$*3*H(W5rn4>5_CHRd&kp9 z8(@|XycKA2wXuSI9)7}o=V{pLqur*hx|~yy%6FqQa>5QVNcrV(F-eP zkS|TRQ?%I_LHS2Q-A{A!+15a)xlRz@2P1jiyzM0zWQ11jCLFA#2<~f=zA33^kG_*< z3__A82I4JC(})lMku|I|4b7pE$%3+@ZMC*kZ$(2^rAl{d_K#=E{K!z(Lnx9_Yv zjo1;TVO}@R*X6?zy8;oyTMtT4PBOB@l`9{@XJ-6c`o*^LPBV`>(pR=O+GDzWA0gH& z;wc-w=_rZh`?-kf(L zMa;N6g~Y2b$vkY4yOL1g$#Jao%6j}q&uQJ&A&tVVdSWi zQc%_Ynrpvu(1%^$)UrZxPVHxbW||ui_#1i%S&YVRim1j8B0#OYD|z#M$iX-Bv{z+e zxJ9dfUq|PJ3cj3g)vO+=8NaA=?QP&v>+&mu1|Cl7Md6+_E|rL;fTXiJ@@$tFx!Pr4 zKCX2epTnXPMZBG7iI)F8H+jz0x{4ED_dZeRujFvk@*K1HYb1T_+**mR$}EeiOi=uE zNMYusZVx)XrKI=V^EJCmJ1za{fL5WDTbWT3;3Y6I{nF(?gqmdI-5VDC%|AksP<;eC)ABaqaD#BMhu?v7?zi4ZD)AYvDB?s1o%?*XGBRya4j9@+$lrp-)AD?ubi9``FuqH8~O2Kqn27wRvXH1!o0`2CXq2&L4 zU3v7F2>oZ#NzyPy;sZ=L1wBRO(j)0M|M6-#Qh~+)gt9#9zaI){k`1BKsE9x2U{IL< zl+8aiSZD2d;pTUZ0Yi(?r{mggXHw?aT2^s%{M%lxAHz z6dBoty~wPe)tNPOqyb&g<`bQcAI52q-qoJ0A#<@U7)d(}V20j1yccw~2LPFj*_L=5 zq@z9Gn>Z=ioE*?oK4Jn1d@%(6R`6_dE2M)8C8z;NWPwPb!HyBL7|-^jh6(6hZ%AEB zpaBe5a3?83w1xbdt(agae}x>JAD`QgGq8rZqXsJlSH#Em@(KfXa#i86`U`+QKIbvw2c-(!RBEr)MBkdFP!yAZwfLv@S? zia1B2CFLkqV0EX@m{>auyc&ku2?w)>bow&*oJG$L^PrvTOQjbK-Ossy-30c2T z4-k8eemkFIMh)$ic%|(cx)<{G&t&mKR^*=W(A2ph-9?OqZ@gcXQQt+!N0-ece2!Ws zA1o@}zB-KKX*r5ezF?y$@?luAN?U)K5qGG#P~oe+eO#NizbD7=*l0Fy=W5Z1R!&O0 zlOga6)9Equ43JgkoJ?dDQ7IkzE3-x&Ky`TdzQf!2z2V19QON;MV1mpESfS{PGbZ8_ z$H1U@aR`+d(c!!DD{QSm@=lBlfz#iZ&v<=fi*ELnXte$YNEbq)60fZ~24&OE_TN}2 z0OM*J5i)L-ShgN$m5WOOI6tFgK-ybfn>CMF^TG~pbwy~)?~D>SgUkz+JGwL4P$@bH z;60P3$k&kH80}0a+MG1drK^1l2l6XEtYEI)%i?2v|1ySmG<=0}b7kIoot%AuE-k+E zIoXvF-zxE%Vn+gv@OYoZr)=c^fJ|c9I)#k>7JR5A@_>R3VNwapt{# zK}OJ!v}xCK^e-W{`)d(%h3@aB91sEj$0s%Eq%pzN3l$XxR`Y%bkEdaTHRXjMtAlR%DxJ$?b$c! ze2^v5vw+Ln<%CcqU$vs^jpd@cuF|2eHD)W`mt4OKhKP1-Uw_ekujcK zGQVp0{G~UAbI3M}=W^TQ1}TSPGwezq>=QFk9#4!}vX5Jt-qZYhiW*@NA)5Jc@}lMB z_Kri;Xl0sZlJK(X)qUuvu7}7l%eGP*`B$iJm~~3t-7Q8_i|DM? zH-8b-DLgSK03%8kTpwxL+rDs1q82;IH!J)qTyxxzuYOylTEydS+L-2yLIjO^R&+vA zw`;Gd+`1w_yipf_X?bT!=_%H7rQ`mQn3$(Lqe+)YsPWnBg%gi|h7+P;XCP$a9bgk@ptjOc!6Y$X*3)u^!zM$}b-= zV!=U@6A6A0qCEc-I8h*Tj}l$~)L}{hW_X!#J6t6Hoxy8!F2l-%G81{X0%TIbbtToA{h4}ZPWugjx#7Ra@l4cM6W{(K&yIM2*Dr- zW}zqH5Qb|oak&kqE&7WGQlQ0Tk*@}@%_H9L>{S*P?RauTj-~`XGVltzZ86=m3^E>^ zfan7S^Crc2rw6odF$AGiIMg_|(!}kNv?3^IhDRslJ_n%F8gf!Xm5REFBuHRO6dCSL z0kRv&I+8=F;<;V`jrRl&9c+%Y-O*V=k%tk>O(Hx0ka?Q162+h97JK7XC#!VK^nXKX z<~-01^e3BUGXpcWd0x{_5#T96h4IF@xhqdPoR84~=|OaL^xo_WrQP3PN*;Vb&8qPV z)0gZ@q{EUtTksDGHmm=;4m``kHt( zj0{>(>{?@K6wt@m`3B#|z|8VOfKn{0xnap7>S=_WyriAR9&~tDX;SE~uMbW}zEa*? z#)zLi#CW_aR~LJ)=YD~JpIwY0tx(53?7E}vR*w)udF%T|x$_XVp~`+~AmZ{nqQzb~ z*@L_A*CTV4O;xSV3~liDh+IGigE9Ki8py}eFBwC5*3D|RaLJg6x2IIl;t z-yUXz{P`XZ{knB?%YKp8P11Rfb`FiCL%Km`s}y;z#lq_Zc<@#*6(+uy9uJsm>tya` zILKctXc!G|OM2ZqD>Em6~5X# zAu8PRHsgw3{KdM{YfIL*v_CaBi8#rZ7>~K0T-7NctP9<7u4V3sJf4e$v8~5N}9TSG~iVG(#EY;nojRh!=>CJ;yR<>pA+m@+_4+!n8+}pL*LA1N-M;zW@O^)Rf^v?EujdU0YP;WNqkY6Z^ zv|V%!KyDwuB(yoL%C#Uov6b*3_Xhg9X()P$VV`zhD|7wmP3zC!HfMVYddfGNC4K%{ zZuk@%5YAcqpv`w~Qri8C@sD#C;lMxeYf_~tx#8p(gXp~fmRC| zF29aBk6<}PT~twC!@u#$gs&IfrMHbuI|*`j`s zVJSSOu7az_%&koWJvl>T@&9y%{fW`}!<8+PkO#adg`*@qL*yRGtC{4?=nAYIWa1zwm%!B~crsPW9Zj7OarSN467Fj4OvnH~Q#Y4rLUM{nnAN!$%nukbqm8sTi^kxCS5(UEx;p}~j? zIyLv9FQBlf?bPuOO&MS#d}SZ~Zf+{p@-7yi_U#8Xwq6l6jk$m8d{c-x(cdwy+^sSj zd+Wg0PP*9Qu)k5c`9f*OlPeX6bI1qn-uE(Z-Q9Z%Qj~^)mtzNK(9VkwioOM@=aPqe zs+u=;*k5=2uC1Z}a#c(`UfAaTY;u!+@6xL9V3g*&fm=b>X<029h6(XoJ=|5*!*#E{ zMn(4D{QKwqM#;R=Q^)aRw=#;4l)R5|b$HKA%n`6G06nN>0pagwErs#8W^dc>EFt(R z+sYZZe44cFO_U*BiUf}-a%G?Yuze;~J+~5+z!588wGE#>YYiXP2IJ00=^@>P{{NC2ph4|4M_NNCl)+aA&5>A3D>29!hZInyLgI9VcIy+6s<(j1q(Ko2 zWDrpeW+)H15FRp2x68eqRt$hcV*B&SK>u$NS*3!UMk6DwR5uMsNr#fVlaLz8c*xnN z5~#mdh?by3MS5xBIQJaveAk~7G%+lAdd++8UkDjvc%bB6T9Y>ugEtg*!TmdMaLyg>w~?HkD` z$3t!!Sfk7j1#zi%i%cA(eXPMtKtxvdO>B;;IKmPm^J&(*@1=VjkECN!crFmegV%874k#en)9Anlay{K2ogpLTAC-+`^5Jzk8yeV z>GQ*f0EN0|Bk+gWu@*B|nOJ9NY9%X3X7S>ij3aO-jeMi!c;c_W)4UULyro5>mCwZ; z`EB2q?rVrv;QT14Xj0VE)13!8M36f)Z>6~TdyRnu+DH%jE<434?Ld79?Ca8A7B_R&l4curVDv!@nU*HH?5P7fB=x5q@AaQ-@nigfh8E z=FPsE*Zg!Re3fm}8!d1$zOGLk*uhF@_Je8qiFVc1vRtT7DYd^L15Xb4)zs-NdVobv zAv#C)V9zTuwD^9yJGCNplOb8VDl9qw^amfW&OfqARiZkw)p%3iz4YdrhjT;e(B$fH z*ZGD06RH15 zfA$GHdeEC6g#2DhjWp60%V3|+)jqsNQ~FTuwKzx$!3tSja_#UOjB=}w9@z}qaLTZH z5P36Dqj}#t+3eUY{l~9q`c~)6f2gPah)?mE&fz+j?9zElQ{ffQ=ZH(_yR-vn-OYjt zS@Ca_VC{0V4eY48Rxu*%9j#%bNB<+$2KuL zx}=e+Mv1clDc2&*7G6XZg9&k&?!=5&UKAtKW5%2F^D0?Dsm*t7++01vJ5p6R>)ZLU zM;285)qH^D22vXyXWril(jmN0jEnwv7WGFI({txfjEG&GN9@HrMma=i-uQHD*$gD;_!opD#N8ni@^9GP^S>L*)Jn$`a;XqwBU-T;FahMP{JHdEEi$PGj zk_O*FfSYn(m0Wlc7Cw%;XF>A8d7|!}0!7rw1SC$ZMaZ32yss$S#OPyQ|6tV`u#*-O zTBR1_%Dg84U_1#ehBu{=0`Exhg05S-g&haNwV1y3B*XN&T^^K*?q20yd@?ESK6BXy zKDdkgNZ?}BsS31>oB96S{sfzLy%pSRJX=wXcAvC}>rY_G)MD?SdOA|sV9Vx5Fk_vk z{=UAf+}Y4*>$qb9>x(i7&iZPq-?=`@+Ie{cukX! zSG}Q zNF)H}9hi6=2LL;jdSaKG@#&CBfRCnae^-rZOzYKz~f@p0rXqpc{+%5kVD}0;_-ut zcpOJu8p$TdEBseD1)xC>qr`=%5JKK(7 z_dd=0LVzz}Xx#S6Rx$AS_&5MBf{Y~hOZU%B!pK|puk%W!MiZ|P4us~5(;IU@D0H9B z0W<9Q+3vIrp^DxCLMnE&VtBkL5C>^^^n&#IHFaUUr>S-9;e0aCl ztuaGdf3l|(lA2BhpQxVPT~BU71^Ku?oRC3K+A>f;!A3lUsH#fs)?ZALBsO`pdK5Y!qqU0j6FYiHF{Y6eiQ{ z1nUU1E%{J3n0$(dH6eEz#Cm~jTw2?@rI@xSJZz|#7Si6kHvd39<~L;Zz~In@eOnn^ zn_>&Ge;ICft&*>~9Ng~TauE9VEqbOYlcS^R+}__?be;#tmsQk~vU?&6jmM4P=cm+% zzV)b%JTTJikB|^tGyGWRbDS60J)yzQE|Icay1x>awbhlk$84AAycspa9u4lvRkrbS z#5#l#=6ymiLzX1Cp8^fEx+nMTy^?4w6V^$S`K(AewzCed#jee?Z)EA zJBD@n+9Ut@Yv-SKGwT7gG)6L~c;~wRh2RFb$7|QNj@_mFtscGTMdPOGJG;5zw_ukA zYL3S!lST*Eu*3TibiTWVPqx1NhStA35~k+#Z?L?M;n_!@tU20lLOfw;fj!xwv*@+3 z2RWRtb_dv({Rfj14m#belmX()!Ix+zi+TzBzLvS>)VMev>8FieZg=z>16AJ@ zVU(?R9*IrPa=7 z96rdJ47*OU76lAb@klYhAafV07B~T=AQ+jNBKpyu9n%=0*46yzYlA*-jx;J+PiU>n z0`kY*z_&d~clNa&E^X|Zh+Tkl^bL5Qdc4nV&hdUgi$_nNFpCub!LN9bU#_jhe9&zq zIXzcPR)LObYSR|2#nd=Rq10b1c)dT7Kg>Uo58y z`g6X5_q{l|BZqf?@*yU7ee7MW>4$rW+=0fWPIYd^LeEP6KFMr#3QWa(x$@HM@hIE2 zx>{eUrau}Q+sknE*ZJkW7WW&H&T1UE8KdaQ(X%XCb}fCewv~$>DvVnxIm%VuO(qM( z@-{VI5J-}}oAtddW(~}lM4%_)KMdK*V9AS z(_@d~ABTR_x}6-9I@zG?vvIGv!-ij6S=PqJ+&9ig{3<=^0xjc*PrzxC+_1eYs`UhK z4lqMe+ob>T5&QEPV-(sDjK*3?J4Oa2*U_ z+@@1j|HcO_VY?{Fr_in& zO6F$CGH!cn@Z>U>0tV?4 zkm6olZIaMl*;SAy&zreUf^#Sdf_=e`5MlZVD_kJRfa{PUqTBw_l)N-hmi;3Eo0psm zY^|R9AX5k?zr2x2%*fDL%_0!lcNY z7mqTe%4e=mbewuO;8ij|hh%@5T_N+>w$P}S0okBmz!+xR|Ay`)jSY)oq;#nH`G`Vq z1K0>KgAB1(DDuZs8TYh#N#U$+0tXm`2ABfCFt`4)4Wd+Q({6c#c!{eFTSLAi*znrS zO#z`2J`93!HeTkVNi|de2!hxo*O+K8J^KV401RBV)Dd5wU!kBs@~A={6(E&nrY)OD zKY8p&BAsLZ>a>x(ei({l91Wif^LhDXl-~hy|M+jn)(u(wZo67@#{Hm5{Xo#UsD*zw zJ*LP)6G~rHT!P!9r$qJ9%K6nyN3~XA|HUiOAQh7T>R~QxjNLcm$yL5k+L(F$hV1U; zUc>lu_C*2G@OQm%p3?er!GS6}t4nM8Fk&ZHN>Xz( zY*fsJ19iErs`QJ87Ts)uQ$E&(JMW%UkC0jHnt6QM%J`}*B` zqrn}u^A5hMR|Bd}HRs+YORX2QF1@H;Pa1j#9DH4`{`v7(M_%(7F`f7@!TXYl1p)a> z&px`Ca4*ZmkTifKd{nGooScw&@u477DYD~ZBmAL{iCFa4-w^d@*)i zldgcEDP4+jY-USps2`Ga%1-*?U)6Ncy(2UXD~o1+ze(pU-D`{pHb1vSUvpo4S$I7t zvsDi0{q-bgg%=!^cEb#iQTqcY0sU2xfdU0QC+t(OR0#`I#qMa{U_sJRKo|l~wmYB; zEBN!bfDuEKETAlQ@!gflxr~6FhannQB6)o4uhg^|T4!41CY?e6HgfsJ>lyM-Jv${! zFiFnSd^Vp3pLADhW`)|gbiYnt#(YUD`x%|QOsz=o8!}V)6;V8AA9yK5{JY`jtsu-X zd_BMTcv{xjF=o>0(35`J;e9+4y+1iC_ ztp&3$j;k372Lr@~+v3eJ4+F&~JQnA;PtJhF9?HIa7QamGBk=w1BSmX2`m#!oG;H%m!HV=>&Z zt0hFg)hA@r%!C`;r|Pb$UY3bpkwbTgQt@_xebXtdg3xf|Kc7 z(*wmh#VkM)<_ppE0)CyDTiQSgL`HU+K)L`!2epBVwoSri+e0?e|4_cBw{jMkom6$IUn((!bxH)P4fON4lJwis7#d$#{U^57zI zkSE2U801V+wrnwcp3mb!kb2tGlQ*fK&QTK^Zfhn9I?q%#V2QL_u+3~|Ne0uDU^O3v2jz-2m?qH>L8WnlUuC0)jaeZt z_(zJl^@mOn4vWar1uMmKF-@W!l9iGekX}iC4|cY#Tf_32GxhgCe66-g8h}x-vP-K} z`El)e07VB*Nv@tgqCN9qZ<;8mBH*D+51*`=ND6q*#;_Jf!38rii^(1$b4WAsWZ+|h zdq85YH_9c`A+kix>cnF;Ytf0^dIW8g&P79nX4h~@w6akBm$n(J+*@`KA`Fh4Byn^s zQ<{M#Rtl{p3uah+_77=&RM`WFnD6?%-9^_F znZ&DGd6(xgB8Y<_`%6*hXG@pFW~}eTC#N4s-RMah8f@}e5B-X^9!Q&ZHdI?Q=`O_w z$q5_nmkdvgy+7xZuD?1#I27j9|GFX&pJqEOm_C<-L=u_{^1zgB`%~cd$uawf8Vza( z0~hDFOLkmpiXIzD@BVBb+$~-@oh&!G**70Gz#GjYLgS)BYX1W2rL}&S4U+KXG;++| zynsvKRJK<^%7N4%r+Vbej%PCq1MffUo-+Ss7O?WdN(0w=Y;sJ1^jKqhR|^;K&~*5A9?B;x2t_d{R3wALKgMz|*rKHfVzyCIpu77Q@IqBX$P-*q+$XveS3 zBct~hwf=_qpdd}t$%!50%Lr7re%dUCzitP~AC!#kemxfw=@W3fudh?5EYfMw`{T>H z&$4fHydk!Vs&ut$n+#c^uKUFL{VR8|Dd=H4f3)Iq+u0^RX}{Ow_xI#=G~>dGFJ4qr zZ=wA;a=!V#bCr@hsXw{>BF1^Vyxt@-B;_HnvnZYTn%B4WpuDMx57Cn1h)|xlYo-dO$0RvChb>HvjOt1Vf0CC3sl(v%{7stsIO*>HBRZbT^D=F?`;|Arw90L!QFN96M z)Xj6$vhwt$vdnMEg$7R37q&IJQ%7hh4&R7U>{jiu#TR=~KJ$)m4n^ACYT`QK^_r1? z#hLJ=m=mW)%$eTSXwxwhDJ-JF51HC0cI}&Z$&x8)6u=00GaEN=m0sQxVfDw9w(f;w z?rD}5m)Z4VM?7g$NcfHJo_n(Y7_@z>QRa&xY^7jVUPjsNDw)5#Aa~&xM{l1i? z65NGtmu+~_gA5`un{8s!hS8_U17&REz%qs+S|6O!JhA!(s1RjPqpItWmv_Ik;v=l zyg6iW#eF<^+Reo6*>Hq@hfv>v-GQ&0Vpf9pH0+T@hvV%}NGZ#EXXrBbg$pa@dc|G# zn(h%kL;~~l=h*@$@yvKCrz9|vQnh-4dON&miOgr@fK7&A`69H68V<6SK%OH=BAH)+ z;PBc+iV6mhU>^y;$q7-QkUaXsINJd+`;L_ z>beG50HkS$rEYSm#0LolaM!2XrIIWmbg4)_{6-Zhv<=H9kT^+giD9<}D$=AMDx_Iq z)&x4%1kcl=!a1lb(`K(I5;|0v4uvyXVO;_lkYsk*;)gv)5NNb!qKnvO-jMcQdaR`m zJT?`xzgY(!j4I5w1u~s}o^c}1G@N|E10`rAThRn508AsTO2QLt>K(V%_5d#=Lp|My?wGM+~?GA%v)ErQZ6N*s{yG8lQe@q*r z*%2+0%-o@eI@oVQ<<1aqP+Dj4M#}_=cOSwzkOhSSf_=LX-hK4_6ut|~jR%%pdki!j zZzQX|N|}x`H_~4RV87)ci|pL+6{>tsO01>LcJwj{a>r|!!~B}#%%Nb=!y0tRHb~~) zOCFKQ;{Sm|n#sbRQACwCMhOy+u-%C_(%9D1Hn1WPBf+xTRPBUH!1xnQZh&;7Aev8ilRdM&FtECz^df|G`2#cB<;ThvEy}MAGtaDDmhSm+8>DciZ*f z3<(@ydNgXNlo|C*G%VbKB$`& z(Da3K#-#4}D#-0YmGgrJ#|KnrV%*_!Tl*7d@>A_kKniUUzo8}phx?Dd$Zblwt1S*B zV_Te_t(1!{zOH{eS6nlBC}W2J=b)K4Wz|Jb>J(I4I&Cu_GKzS`{;;AcTx!=nnZWie_hh{P0k#iHRC|{xS=j6dSp;TP(i;g%( z%3~CRvWj}|RX_?DeTorKnrco9&Yx>QqpqazW%dLlKf0piMx%-CmYte8RD)Qi&ml^$ zWY8?H3qWC8u}v;h3!41r3lW3Hm3b3NFByB%o|(gDeYUs|;P z0;?O^$nA#9_1_1?$j5gUUEh?C?o4U`%}bnbxh~pfRG5$9=eT!tyXx<>4`ItCn}K2W z^j+iuCR5)mz~8djQgvGbtxJn=GnF$vPP+Uatu^@k#d~GAt<{xI6F9+`-pOuKEr%a$ zoygkXos=G&4{*ysn>z(iC2>4|^3x~&Pjm67!T79yz%i1#8FbFP?UZAG4S8SXW_`76 z;c20o64DDU#rEvKzjnM1dr7v`qIWknZdoT5H-srHAB^5k{zB0BIQm3-AM$G24Ol7W ze8mKT^K&Q0-8sXK!jrhdTX@eH{_HJlRWc*oGsx`5T8>Hg7v)Bof5&qw-t*~94!)+} zAbFbEKC_g0%b!hCl__Pf9m`Zy7kgD%e1Dg|JrgG1Ra4g7#@Ooe+Wyg`CN6S1@yn;w zGx17n7qNjjqTNV9eEbFNAO4Ya^^}o+e3@mxL5Q~C@m~@1RtJZd={TNS_mWjgg(dEy zh`gs|o3sP46qz`Id2?EW5}%_e9O6s5*3xEafE2kwgo<4PbO$|Wi0Fu$5$n#)DVHA_ zc8||eg+!Zmlbv}lG)W6<{Hl?>DX~>ZHMy-lZ_QESQ{du$LG>q)Ys}pnYa81YeavM# z51oBl>^LcVu(^KX)rSwPWJYRoV8jmFlWF_Y`$o%Fg_!AM)9c&cf`GIqGH(;gr>@JC zSLt7UDbgw>9_>Bb`0e-uW)v74dR%;7@Q_#&0>XDk%(|r3sKtJ2YmfWZ&AA;Mph{a) zuskqUS!l}anu=leQ?@o z)`j=AhyM4kp&#IcsiW(UzZu}lCzJ>&9t(1H4`2h$K8f|#X)QV5TMBpr7!5~9em$*p zN=DC&qlCKyF$xLHunnL zGB|_mkt`I4dRKS8J(f4_5YfLt&_o}{)kw@4ObITGBSE${Zs}Qt3Zn!#pZ{nYd)JK=oV5O_i3Vyd%=@K zmvJQ%kt1Jt6KrHm06QrPS!buGbG>*Vi79YcwP!p6SoC-^(4KN8-av)1!7R#%WIKei z69k)2N0a*b^cZw5bx&$9SjGUrjr%4gyzr;YjLkNiV9CuXO*+$Tb6qj8>A35!oT=u( zcMS%`7A?S6vl~h0OrVAtx_qB%^TvD#4udjuQD>{HiXMP5DcASaQdAS)}sNahHPFo>kX z^d$u(v{&;BWtYfY4aj`)i8Kb`sA2j$X4Ysrn83EM8VpP!xl~@P!3!%O{|Xi&Qpv&a z{K5taL6Aj(Xr=P*)8;gBIzFJ6z>5moK?+IXJ9E)F5QOi{t~Si>%+=0+Hh_UWBZsiM zGv8q?J;9qFxrcW4UoUthD0q8e_5l)DR&SL)pBTeXANoOmy|1KX@ju?;zEnX*Jh##!q1{gM9f(uGPnmT;^!jz zuYx5RE@kGW4^E7o3way$z5IF&oTKE55{Njy{?#)sT)2w=X z?cKd+`lPzz0u`-LO&q|8UDqyPk+S6V16``Te@ut(&Vrzj&n*b|Zx^NZ5~eq&wN&24 z+CYua!M0{r9gjPOIhE`^Ir-M>N2XlLk@;1pRARG#)l=Ebv22pZ0q`DG!{1+n1961Y z-ul2yewyQ0EwqR^1dfo7PPGB+q1^>fOE5!ITRCs*t(PKRoLroIwq77Z751^MI_0Fw zwdC|{753~!I;43jo7szjA51lYa~xCgR?iv>5!Mu;$Q)GLGpwA~@^dp$Dl*_Xjf-bn zJ!b$Hmwk1pu(^(XMlmZ(iyzb4q^DiLMQZ?&u8vYsU_-b^-~;)t)14$>`}k9{{#()d zXN>ayUX`{cTz^jdNLN}_btsB`;f<2-$|$8K@!wd*ObbStCVx|P_5Fghv})i7m#5=+ zBh^A%sr#FPwZzVdxfX7F>dC51J#PE{DSPQzd)w`3H;DMD4N?W?unQY|v@#`vZw4LvB; zT{2S*rRGQMKWqIV|_mczrmjYgY>*a!D>MHtK4QF^xB92x1mL(i0lzD5d zitDu-u{l_Cd1`?DM8ez0C80X>8lOX1x4XOXhHZev?)=a4TVap+Q(HAZ&zSC`^oc)P z@P4_T*0zLt_y#a7^8o&=N0Ql`DN6;hP^uM<8cJU$?|=Gy zJKnsK9vimDSlqCC1|Trt?S;24Unk3w-s}+lGQr?-s!7lxCHh4`sQD)_F9^4{@+d&w zJ1U`zAo;?x~WJNRPMCLd7ITZy?w|Ej(W4n^R zf16oDhF#6Ad`?1s%=*yajdYjg@4oNODEK!%VEHOY$6>FD^_{tq9yK{v>PRw1-OXAp zyx1R69=C4BSu&AwSQVeD%jCC{CZCy#C{cT(^TIQt(&*Lap6 z!tGvpuSKFmuJnUhF>H3eDpyQGywwdwrPmuEq*`B(#TBkD(<5#XVFf=O0(+rBj!Ql7y*N(lImq%O)z1ErGH1a6 z@fgwB6`*gOkqy%U^B9h4QvqeLFu5zBO&9QRD+{Soz)}M;ywq8X>^(Rn84kF)wisw7 zf7;Ybh%@e=pe+Um+Y(Ea2g&#_ih-vg^B`tF1CYUEfXya^JW2$gDNdpenS1^ZgARVM zNrb!zxB*~5iGiW)CWz2G-5{fOgCb|+YzBwL&X%pkP`}-8=t!*CCCS=piyhD)6>HF{ z@sM#B+cYvs?Q9QKN*-8ypcfGH1x7*|%Q$)v-9j}^jc->F4*+@o1@czdD%k@S0*4;jus7|(nv@|4vmO#m-mvmg zxm%72R}$zt!Je{tBJxW;CmKP8bYKX#%JGq9_6Le>D|{{?cLfSFWJ=aNi)%(Z?-u|> z-GlGez^XP>LUEfUkg#)^T)B;RWwuC=YtXD&MSc&83+xYvW@^ZbZPFq-5(3&QU{7S? z4TPb}n-yi3fu9Fq6Du?-mPL0Wj`9kQflE(#HRPT%CD1 zl>htoZw4cK8HKD#4B0}~VI=!9jHQw&Dofe-ku8-HgRD)K$u^cGWeEw%R@uf@*0PT! z+t`=S^Y-~Z-{0?eoB4byv^7ajH%H+sNbbowQ)D9QbfL7eC{|-X-}#sqY15z4Y#OP9d|K zgydOG#om)1yEEyBCDALT$Bim~>49iBl_x4))o=IyJkFs0v@7B;!+y`~ z@>_yZ=2d)5^+1kw@-@XeG0*x*iI^dsVTP-@ImrSGw6;{mXOjya729^x4c{+W-`?bz zrJk#7HN4X&>Em>s?Sd%wQHT!o;GnQM{diAUiBrqtVb>VKNT1s%{~vc^vZk3ArmW29 z>W^MF7ozZc@V8Ht!+)Urn?vLa=7*$qtNi+#Yq*%L~~h^ zTf+>&RvJJiVtP$`#){j0)vTOe4ui~)7sdq=Z&J@53_Y4H=6z-OvHS`3^fqrGqfk*L z;}(Jjrs8@RRs34jIx*}YNT|-h!3Q8rNjGv2Se@+Wwl?h-UW=;9PVqt=4cU=E;~z6V z#7n0n@^T52C{yzfbnH#eK>o_;x7NUc53(FLN>ipbQE{^dKAhU}Et4#dB8@NWzDRiy z4l_o%%%tH!+6|D(8D2s_6q8Yd^aB^U4oD-~wC`~N%}$OYkE;o=ik#>%J|^;aCq>3RKd+bP_K9IzFELT> zU9d#_hGeIU?Bm1U{U284e}T^2NA=U16y?tEE?Rb79+x_Q#`y#W`3f$=I!qF&yF(5e zzv0ajsDhv&kNx^p`7A(kF3NDtf9HgOB&WzphzKt|9eZnJ{2<4$@40-E#2s6mLIXh$RK*{HJ-F@1DC7>daf0dfbM538>F9ZUOkAD`Oo0?n9?f#jH z>$el{g&ufq11l+Zt^RW@QuNqxrkW-B!^IKJJ+(S^FSCrSrnL=l^SF8C&Y5g?HLFxU zOLp{AT+as-C729WBzdRHbj63!?5w5?Ibc~umr)2@XB~Yx1SpaFFRQRn$RhSmS&N*B z1iPIH>{N&SAD-Ov*9)74&}t4D5fpvxOL4}%Q3&50o@Iblnu#&5q6JI(^hhQIyS1Yd((d6>Mwm_DZ`~h5nU$%zxloCxl>g`9eVULDQr{ zz+WR?E(!vBHYQgqh45=gYrHX2E`P>*p?f`@BIW z)+K5y=hl8v+_RDg8G1ip%on0SStG3&-Cw2uc(`(F*9@L7RET|TT^QdNaZAEi(N5l? zkjTvB3Phxq-;IwYmgq|;E?}AHR9v-;?J9(ba2cC;i&G`~K(1he!&9G|+JR+VN|ZhBFf){;U1LM*Pnj4;I{8i|zGxh6KMQ3Fy_qKz%A_(gKI6 zWqn|p01h^4z>%Psf+kKxJR``B^rZXd5gE1=JWT|bu>lj|r%0ydQFxU2GRT<#4vV-o z@p+X6G@~xk8Mq1{iVnXxaCVF55Q*KiSaJaP?n(YcFl?iT1fL$cN&z5cSxY@kLY}fi zPIp292-&zo1_gr>C&DVkTp46t*w9-bIwvw(XPICzhoqSW2(3$@)d;`WWm$%7`V+um z=YZc?9>ecYK-3H5ryywhin+k)gC;lEN7X8D%3L&ju7Z`Ea7i>9UKRgt}6S=g{LA+Fy`CQ30Lqc6p+rW zELfr(6W_F&tByw=6XJz#{2E~=$HxG+CP}ho-ZZxxX3#cSrTJLeC)#C!VCKKEjAnuw z5l-a93L)V83=*J*yTgY7DM)a3C>#o$NmWrnQI5^QeWJl7L+o%j{$0NVXR)&aK=Til z{o;)yjCl?7s#tuz4J0nYeGXrouwV)o$pi=Db#OpYCdTyis>~#TiEK_E4*NT{Y1yk; zh^qP6V!)4{T>h$dM{|1YOw(wM`2H$dz-pBl%lo7*v~4)M(%en3Pw>@7M^N0;sqbg5 zSOhL@Uh4E-mcF{130kj~g}9oAv8>_j>HV0PPjM_pZR>Ei%IX?yN??gZOr9-dUGU*Kg+-LY!%Oq~&ZbHYHe2*y%W5 zFYQ2|dMzkr_tdw@i%o*+n1DX%P>duOH;=s`xzZUYpP?%9`WBulAi|GsIVMH8u{?o9 z5~?psYReI`Ts9{T>r}UQsLVuuVnXQEcGz!obgg%I?aq+Wn|U@T${*+y>Dyh)59u{D zaGVco@PB*h8Vmj^$agxP*sf9DJCN=|Dv@PSjFa5yt;O*Ztv@9{7h3y!17#WpAbR5j z&-`dlBIC5e&v`B0Pg!dB?Ut-f`pl$<^>xE$i+uoiN$BMJHl>?q{(*u%7N-!>2hQk| zuOytk^yEUQ?Q#4DpMOArlMn5wy{>Mnqz@HUGeImRbIJ&sc#HHDQnSa|#{E30cele; zTFGz6E~tjia2a+!x#lK)^6K?_;E>KrxxPhyK73W?%5qz+=;p0M5kku+=P{qNBCZDO zZike=5}gSbFQ?!=-|x3CehKG~v2f{VJVS7K>OwJ5n9xP$$I~N{UN%F>eEtq{!Zhi+ zonm>>ghE1aPD~`ar6(NMzgMvI_WtKzcbP6!{<_uapV%Ea7gU6xVR;@2RCO<66D$%E zIxa0lMxswnx_x6@zy{+hba^f+Rb03D2m)^6>mT$6%pMs2{ReXS$i>w@G#|D)R9nbm zQoDUkTVfOHrVoh@87-Ti^P$x%!m1H$|;u;iJ->3f&TTx~v`Oub*b zpYixn5D(2yPts7h+#GQQqXLxcMB1a$&Q_igYPZvXAm!bgyI2t49-=vF$2rnX=W@bj zV4>!SOjPxO1ZYC#;t&Z){{|k7B)OTY2P*kHrL!M*=!Hbf z-k+?@cFYM##Y+0k@uH4w|koS zzvIK6gbO(jb_ROuPG*c7KGBNXhTj<7vl@=F{J37}+32K95%ZG`NGYIkh7CS&?6&ajjYP zhJ(jAhB;=!&tA<5caNXiwNyGKB?Ftb*D|YBh?4d(0fgUwWk&hHq!3490&LRW<3fOC zdMVce&H1``)8krEP_h3HE$&?UC7fV|i-t2#F!)fs-#kpw~& zBXs2rm5JI>hVptgHVndf*}yvie1QKEwfuk2WWrcoVc*zSplT6|MygPZVcdBNQJ|D@ z>btlAupUya2Tu)gf!MAu=*U1$b)pcz^KT%Tfs^B@;HN=p%UTq4j(RsZD~fa9+${k^ zkyC@|Y_lceQ%=>n%dH5u?`XK0{Ln+^Jm9DxC7?UuIP3+L{De4*?rvJ()fvoH`R&(g z&_-OVn&;oDc3;c16igo&^bjwT3HAE-FJb&4nH%=YYPZn?I+Im93uQ^@%4`( zfde_+paZ1F%AxOVB|`|>8@IWnvM+B61A;DtXvmp+pQ69>k)o%pa1+3D1T$n%wOGL# z7Yqv{5PfH*0)34@d8G1eoy-H!HhDWA3NMNSLUw}?*iwG-d#$IuuY){Vh?|250+8=C zljH}yXp`Jqd0Ol2UT8M6(?4gjUR+S+1A!XhEVJAjTX{mVfFweXQvU0szn?XbnC_$P z6_*FWh`DWI)ZD4N38tC?Z(NfLi+X41>{O$CS~NBIs z_{1dFAXW!U>=2(#Kr<>YjAd-O$hD}EGb1&UAU10HA&?gDV5u6P%plo7bu;-FVYOO- zapU`1zB3qPq<5787Q_V*AM$;$g0%&63nT@ZU5xSL=}`98WGf$gG`Z}n09#{%0#KOI z1?t<=69c(Iy>8`G>Z1mAQG3jXQv1c#JZmeOZKa&s2_QlA>lJsBoK2jt=5NiwLn#8< zr)upVDCa9GKxrY4VtY5|+(N42z`V(H5%Q4HQt++(!M&J_Dnk=Ff(Ca~SO1wfo{?|$ zFPno|+ehV#sBq_9rgfPp>%+TM$3-5yjmLN3Jn3Ep#|?yYKijpOua}Z2T)>yFd~yiq zXIhiIL_bq>xlUqVG8+28Slq*wj6Htk4BycwJJ~75 zFO`r)CgyLGA4x6K{I-4;1eYEAg=W}WahSsc!^;a>w@=p#Y+MCm`NvbT!wOd!dwcU%<32@JzVK}|VolchRtu8GB%%Z3 zaZbbL{_~yQQ&Usj^u>>U+>Wd3vwEd>$~u@`27ths_}fmCUsom#jkzCkHi9FqG)FNsbX;`gqRHgo~w6M%;|g>{@w`$D%A0D_mYTC~TK*sP$D=CS{!$8W;Kf z`?vqTt2@Jay{+Vue3uXN#)n$5CuMoYt}qs`dz;wwTB`-$xU@UU{hh5#tkcXe=LB;? zuX>0?#n-Bua;%AGyUZ`g?`>9=OJXo(AhnaGkM%IEBG2xL4Qupzm+UPfElp{-#g?u8 zT;@OM1mAM>=P~(G`io?{|COY>uNUU}qv$_HxLlfgZ}=Xw+a>2J8(SgIRgRx@ROPwQ ziqiaFv6{`&mHJiU122s3&4_!*BHH$Y&ET4>x$DCiFJAZPpA1Q}@y8k_>lK5W1~%7> z{Cs?vMxLwP&5#<75TS)SRT?_)xuWFGza?)V^jv&1O%4h?JKXB=Ui(77AL6V%eo8-3 zMAi^C+oeU+PV{}=ddW^F%TEJ>LteCO-f;fz=0*R$GogHwtius^PpqSSw-8o`IV%-Z zbg?WCL#i2hu~_Avv-lS`#o6btK5)P0>+#0(IJ=kpF^R8ZXD=wkaBNt$eVKi&o^GwL z13PV%whQE(6Tt;Ceud{MlbvoneevU2#a+*jPUb&3uO-O}IYL;rWHi|IqEBeC4~gkp zY~v*ZzG6$#&0O9A7p3C&5LeA(HpQmyqI^O!+rEM&cU@1h28Eno+Bfz>bDVI=ok$|{ zLbq;o7HRC0m>1Oue}$g6^$sZ9(Z-{IRKMtB9jivq8{tsPu-(W0gHqECC6xY}16Coq zw=)Nm2h;1J)~pBJUWEL)V?(W5#>2^}=@ALrmRrW(nLhheG(84X2ES~-yYTNU>R%SB zJQy(|B-11soB4}Ox8mHJMZkR$mP&5+z{o}^uG|-`IS?6}`_73uSHI;gY%pcSI zc{t}*GK`WlW+LA|WM6i_@hQZ|+#qJF_=KwF$Vk1|^ho5A*^BxTNOld$E*AZYW;i#Hc8+hd$cSUTEHM02eQ!3BqlfU{4-h@3-d@*(0U+? zIjR(`OiXwha~Vcs>gb(Z-@OXs82+JrqDE7o8tNPHz8PKirsehryvM{tw9g_?fEY6P zw|7c>4RI~E_X~aaMsFaOScy zR|gflS$M(F1r-bNQ!qAwJNxg)Ft>x}cMrgP8F~>6#W|Ahg4vfeNEk`W4zGSqq2|Q< zP>kX+rbsnDD)H8#0w0T+w|rcQm}%V(D71CX8wlT9b2u_PFzf5l;IN!PRqGVvs0^mT zNinfa=>Q{lrHVAG7mC{@m(7X|#c%*28*}$;iBQ8%FbIT$FKF@XgQI^;W_@R5I$ky1 z?C05tPF9|svP_Ui>8AYGgbV>6FBIPMLJz?fi86tMQKauo zTqy|60>GVLMA%Sjp4i%z?m&4fC0v*zEVE$4U96yn&0L3;=W{T5s%{&Z(lM?{!PFb*%APb+2W<%!` z5Fxf=y)g}{le*xSRDX7q7ns)O0OTnOcb@Zhv) zGTF&`BXxDz^B{2*2fea9x|5S2EDm}yT17B#<6c4|Duy@6)ZpS7w|vIuaiuzfnUb?^ zcGmH&#~p5e^klCVOSG$64KU}RY}Pz*V)YYyB?S2Bs-gG83|E`O)V^A`_um3dgV-*X z*2LdfeOvu@#P*e=188yffZ*2o)@}T~40jW`jIA#V_rIV28q(G^<0<*+87}U@6`7SW zb{Rv0?SmiRa#rZFW^eeqtW}kP5zJC6!m&3`^XL!AfRS=#l3yZMj25Fk1-(-+O-UKrwl6e@r9Nr8ds+D+Nw+_$e;y6RynQK zH+v_s4#fpN0696vVaeo zd&`sVY(X<>cD>}d;Br%gMnSM(-i^Aa>Sys4iC~)l;HKImhf`UfT>D#6eNHS7*I|T8 z%!)4tY+ZCqJKKP=TqDgRBjC7!9zGMqrg7LnZ2RE~$W+2yD^WC{^KP6n9qs*_co=rz zmwLLct~){5dDv4^8_k)S{i0;v`LiqL)Nb|Q5AKb>nyN+gLLv!)9alniuDgOv9t9fI zt2?rxNfhC+(2V(t4-Uq-{;4pjF>+7wl%@uyYe52E-b5+S7Ha9%DrAb4rvfTLFpLCwEfrF+bOLcyc{$ zxU*6||H?a0`4${+@#db?1)qbYv(;lUi4_xn&cV4G_*Q~u3SDg;4-nhjr|9&O@rq^z z)r(NxO@-x|7vE-=u&(dyUD?-6PP}zoTiv?$1cCp_rMqSIVxoIU`<{oB`w#vB`sp@G z%GvZ_D_+C%fSd-F)+cL9&LLhk4ZIuqT7Sz$Y(4LM(M_QkWwFwHwdiSAr`l1{@Xw_i z@4k_X|IS~fi3vqCP{Mi@+%8_e*7r*3gzkaE$10x~#~f(CSui41{Z* zsJy-npBkF?)S|Kk^51*4euJzKkkC)V_~z>)cw)q`;;A&w=$M1}Nlj^K^_x6qDm&o23d zo^e)*@GF};c^Oq*eiy#|(3r2(WwrRE^W;xL=wENmQIw?O`sw|88#0UZ`4sn3j-O+b z6|!e?c!b#Y&9T2oFTEps3D8_geYdYs%G~&T>uw_I1n#yDX?hi2g5gGb} zQ-&Vxu3EEN)S-aYh*gG}WmFyqY1%Bh4|?k=Nq3)?942wK2HvOy?illC|0{xuq&?-X zKl&^R9>2pfc&ay;ymn&xyjoUGZ`n`m6}1)=UqGMuYTPynceL>A+nQj|8TC=bY$Yq;=!*S)&1d+wl>Y~UdPLaYy0&V2PVbp zWRbF`1wD6amB_D$>JBt*KHePjcOP!_vvyZj5eid%qqh>OK*PpF&9CA@qO|f6Ag|_P zqX6~C&8gnuQZTjMSk^}eLD8`_ykTSl?f}dx{R9nfEo9*ysDH;dSe!0q$KB-D(H3uF zdWnm^-vpM;3V+D2O!)y*PZmJ+XaV`+zYn?`1#@&OKIsoo4u6S&z~qAK43&5c(O2Y3 zgGCaVD@)2Fm#@oCIP+dSRBXO=*)ue`_>P#Nw%TcnG)Iq3y|rRS93hXSFYqe_UF>x* z6ff1f^X6J=L z^m7BQZy?3gMvI(Y4rONoAuM>o+!PpiP9|Y3Qh+Je01w#o^8n^x zh8Ia>Gg`nnGK5(9kG`NgFQ*$KuGtyR(LwFY7f@ly$Y0P5b_dwW zv1q7!HW93{)qA>s_q2FAb4L}>CfDtnh19ERf;4HG{47wJ4}JZCjR{WLS<-6pdPsBV zkdg@gna}Q}rzbE;&{4m+rdcAcA`nIGfcWAlXk{UPq5!G@gsg<<@J!7XQuJwRXL)L{ILsEd85_Yfx^om`Yb}kr0=#qCnems_LH&j6uWQj3i?AM!- zEnfP10uxDlKvfJ+CxeYSPBS{mGawWwztTb6XWX>G_<~{3Ei}Y4kxJYbZxMu-D(CVo z!Al^um`Tb&D(XNvw`**-XQZ2!3YH-v3Cra8Obo?TD=~5d^l>UJC>2t=d#kJSqGqk3 zd@H{*&G^O9v7dR3t%9=(purpeR(P-GA;m^NAOGU|N|3J0OSzrHzm0TT^zKYgP|MV!u0~@3Wf0BA2u_k zV@;yjC5f+>!lf=L7fYyc(Xu$a5rwYCy^y%GK`qGPZZ?gii>5=d-U>Wi9NKobv~Du} zB1c``wO)(4!oG?P$!_TrT>duRW(I#b{~>hQqr~wzp}J7xc59vmJlgqa#GFR2SU8gg z(o3+>Sh)cL5EpdZZOgR!E_Qdy>X)>+n)|L5-^+6slq?!Hi43;qrW6j~79nD0oJD_) zZw>ZYJY95svpK7l__rH=L(+wJl1C_YalJ~-c0(~EDCfrTgD+B)gXx*-1|G~eFB@EF zeem5&$5_e&tU*AZqm_r?^zaprjkLedB<~-Oy=^4y4@fZ!Kr(d9&toj+4ifja>&zkh-LAmu-OHV=D*S6vyhgvzfWQNTqJr zvmAvEst56yyn*q$UWrT^SPKyOdXC1_OwdD@Yp0pF;%tn(OMCHMWN7A6KzW)chx^&QYuE@98TJM?Wh~^Iq*gdNq z-+NY@Z_bsBVrF`zu6J8=n!zwOq~OEamEx!pY#oT@-UgJNDEQyEXTdNx_}=>pP1iE! zbx#ee;e_5g?@ShR5!=hU0ZelWF0mzFHkPdNF|`fDadq>~w47T}A3`0TP(JLn zmXb5G_Qbw@pAVXO8CmxMq|-+6Yvs?z<*7ulX7;^2eGC)>7rtPEfl5nYvkC)rH^1{i z3y>T2u$F!33t7i%ek;fV|E;nAdFJq&c<7?XvjsF^P;TC`2|p_YBxyGRyAo98V=;H5 zHI69D@~sN>Kz7Nxq*sR|VmZw9LRHO|(O(t(bLtR`NQ3N1^f7(p^$JmlJ3Hwb)T&52>9AaPygzxq&5KiT*Ml{1=D@P;-kf`Pe6v2GvcugDV z*z%!|!3eMXzHa^GJ5A-kIdkEnu6;Shm0qQP;{i_01^L5R*dXfudxK(K=sulW7ZgzkGiiT zq%1y z38wU}9eS7l-Vk3c=?Al z@j8k0eJgI_S8l`lfqzKv{jO22V67W9d?nrAHRn!&rOs8QwU>ANQC(bQm*>-SCv*0i zv(|xetKrMQ)mPDRI+zOw@X)>vgDTrfNux%ykR018(`rA#mxylp;Xlv6Urq9jwUVQ9 z7p_*7-Ve3>E!(2xA|d0n2-k@iDU>d6xM->T_A9TMd(HA+%_I(k6e-W` zEGDO_-6pH~=IsMY(FR&dRLzNXseQ9Rz^rb4@e=_`$#Ej(T4I65-horus&MIj&f~Iep6*?eRj0`&a*lAK)3{G!s>mN z4~^Q3O@*Qk9Hah$?rOki&Fkh1hUqTJ?H>Bl9KUvIb`5R6Ii9#}c>zPi-Sm3D88z)R zZ?M~^Bac2dUCdI*f-1Tg*XoAbUviySt+=-DHI$Rk@&C=D1OQ>(sZ*`yxbC zvA0?Wr=<}56Qm{| z%DlRJZTN!{aTC!{I+pX|&GkmnuMuZ#%ZghhgEhE!dJiAQ^Kks?klQhPyrFJY{^9bU zv@$Bcgo|j3<9a%~mcD8?-Tflvd@g&#;0rSZeInh^=ZwNUnpX0|zR@u%^n^>H>7*)e zq5?5uAY4c-B|@ge*wa-h1_al?Ls7;J-)IXLo-l-bzt>5;wv)4NVwiXx_{v|4NFROk z-TU+`S4aJ;&?n1>H2wIH!U+Y7_)p#Ro^OC2m$$Dk4Z*?zDU)FO5Q&~hTXHRA2fh{G z?=5lfT=R&1^r0hyruMM5so@`}>tgdQ-f=MfSYC3!Kb#m7S8}WK@!2~lt!C)5Ysoy- zwGwBk#9YIP=GCCjI5Y6bJLH$HWa%nHX16{eMkM(rzaCMYcl|og(9pa}{O=r?UE@7J zf!7WtRdV0b<7V|)_JXWu=w#Q0c|^9omba~~Iuf5wf2=e+ooqW9BTzqEaW#|2_f@&C zXh~W|$?orgtSrQ)cd6r6tsn>#vYv1`CLg3djehejL{HN$8A1G(h+#Y@lUUEws6LR_ zQS|g;#9{f8)o?d&N8s4lLfcN62*S^HcO_&epWems+W8;4=4%{d+o&+U!({BO=eKWl zffNQV6_&whB?Xgxd$S9yS7c_}-(ymjl?1a8G{+oHF?V+}%R9c_dfg;TcbG&kG_?wt zic?r`pKVLWI8vT*E4)vnB0$t)+U@h)1J-oqMVNs-}sJ_NYd% zf1zrntFi@O?_f+X4K#J&WjMR#@fV%f0-q!}W+jBQgXBV_3wk2e4Z8RuT}J^>6^uZT zsE*3KgSt;fv4|KzBB$@@(zt0wBWlsJzWy{dEbXp; z@$rqKRsUkI=$jah!jx=$z73r|a>1DEj2W!YGTh>19&I?6%k~68Sz($8mr};k7-omF zV0(=4;v8%)p5iUYqw@P-ElCW}aEs?496;Wi0nozz_ll1?38aoFAV=}qXdgKnEdEtx zCSg~jwOi!S3|_iy;?3ZIRNbDpmD_qQDWI8_!#A%(RD5JIH#ku<*INH0%QEt$>}!_eIE%#fl`?fz}bi(uHrxDh-CtjeZC&|0-9coyKT1{uKm*t z7g?1Bm@k`$NjP4+eGAM3PvHH5wVFl}>_)I&>9}q{bz;}nWgCKcW=o{yfz;ClV$TzJ zBoNGN9y@~1nqA9<(M5nn(S?M8)Yp?Mx%^5X39NSqq{}ci>?0Y%4sOixn>x2RL&FbE z_;o`Hy|PMicH6ChPP%+IwdjQ^5Y{bW=_VtAuuGxmhJZCvvcsGmEPZgN zU%ljFud(jVTfQGt07fqON|5|X&Gwb=YxG{$K=_3_YFS)AAFq`cD;zGOvu14{NX{5T z%QsT;FQSVy9|~z2-t^jXtGTPO(f{Cl)%qs`cZ?30>z}LU>9jq#SHG>saBwTPbtJZn z61Q*(*8j)0kl#5mAI^}g^9|oZI3(o>CwEBD=#RRzqdA3U-h2Tg>Ps0#Us$}*&p8ej z_pH+Ptem41-Gx(#$07>R{I0@-TxY;>_g?!apraOH-8%3Ed0(a1_9~{wG@?A7mc|uY z@AsL>cY*(g0m2*C{(rzKN}|fklHTZ-AntOO^k33X3+lkv*b4u*@STn;eZ996jF!s} zB$^3JQg{%GGka6V`eP$)o_jJPLmVb%@x!@RGrFo=GUV_?rhe$2JbZX?nEIyVlGNrK z^iFERm3T#)62b_U6FSYPYu8^B>7Qe3qh*jz@xt@9MYV06L5gReB^s~I(WISA zFH6M05WhpjX=)ksXfrBem4%6PSJyGeqpg5H5-r!eR(x_`+5eBlW5J+031wlI+l-Ot z`~97+CN8dc<}Aw|iU9A&PQL!+9;$To@Z8~sR!oS?asN1+AO+3fyf)g}rpen~-phNb zRO#F2q$*ZEr`xdk&rRfT`Pf>vJQKb1q4uTq6*uB{vSfN^HkWp+f)00P)%U+siA>Vy zWkTE!p27_Ojg10(xOxB)9+dRN+&r0Y-9)m>26|UglO9;~>kb8TO$+GIIY5@&yxwS` zd?sKV82;StEBaNC;dO}@O7qL>kma=nvC?4*IUcwOQkUVgj9A!t0}1xS3QQhp=x=4M zn-$NHDxstJqQ&WZAE)_g8g~PLz~d4P0(g5z-LFur-djb=nE6~$Jl*D=qs0;O4fn2< z3!^|&nm?*oS%6o*qOw0X_RKhICfiuoJ&`og6Q;o#j z3p!V)OB-s&!Nk|$;{(_?&IQUxtkH<9~K zI)5x}O>!T&8i<~MZ20$(zhh@|b=fSjH~#e7zf>A%tmxO_ar5#=tR%|1pQ0pR_3X0W zhH?RO_Q6s)bOHm5bG?NQ@<_=EPWQ~w*kEq!>i@8WW+&X=FZ>cWkYnC85O4L|cX(a% zkG^(lI8sLn(V)ACj0JGB8d*)IP!QX3xC}d(G{=9YLaRp6S{MXGMn1C4wO}MNKFvLo zsiOe4n0-zt=c82g{~bHi|EqyRSUgs+cue=A#P|u(CV`cn*Sh1eKz~)<_xF|_+R?Km z!|B!)uT~_kcJ{MP(*+cJ`4w49eIC2G!R4>{2}$FBoc#8(#2YJj>GB3}XqJe6rfRu> zjuJ1lv_M#9hx66B>J$v**+Blv9Vi_IU(!*iYP^q841gNT>xhGWV#LA`cJblSWgVrG z|KAdOR9^r0LTSNQK_c)G&I}lfL0+JN@vWl+JjgBg2iU|qM0N}qpcwB>Jk)R(-{co} zFleS_5?2;E6GyT^^GzbmFy|5xP;fV{Th$>cT|k_MUP@@TL}me)rOYE1c6-pL>Pa?m zxI$WEiwbc?pLlB?ZraCIPmb}=YjEu1FQAe8xtpMKPM;5-R96F*xyqWacg=rBwhrLg z>-a&O72EfF^Z2~s(|UCfJQK6CMcfU&Mhuz6XXxjsC4t}n7}X1ee54|t#R!H{ zp=wyE8B(rO&5!5}7nD7;EC&JL=i+Zi#Z%4D0f^H> z?WiRF!nDzbsYuKQ-zpd>r^jPNhEhdJ#6VJ9CP=9o>p?)N8!;GH0`YgMkvAFQEYR~nJC3GX z3Cx96cG?))~X>q-(<0>xIg#n3Fnl~;r!}u zI${0#FJt`D2w`l%o#~R6iW8X45aR#d$D;3TTNou;^qmlI6%*3{goDFNl^ZDsy|RBL z!~T3uky4i_bzNiOK5r-9wdc(u*cPTbvoZO8Oouv=#`k=!b;0iSii03w-d;ayzG+|b zdlzm~Gcw+d>^`+2SNf+t|51SB-{{+s1%)fJffO^71^w(YzV0MaiK-&;*d$!>u13z- z>$s|lt2)2U&N%P(r$vbj5p`N8Eu^)G%*=y|7koP|WhP%Z7%@A82C?!LebMWsPvsnK z5-I`a@tOTvTd6k1HubBT8~K{a!A4-$oDNJq%rfqN?nD9G$;yhhr?XtqlYADmYH6Q- z@@PH+E{YOI;XUQit%;rMyH=h3TCIr>e*F>S-q(>;Xryy0oB2@saxCyq+;&_CweU~c zUrQ9!tWAO`ejlmQ0>Z}Ly2p34#I{l$-40ilZF_kXMs;pKO%BZR&dT$Z@w)H;*n0>)g)?<8LF5nfywH9*|eqYXcQl|cy_HLXBkRLOr3rHt*y0zooW)iOklO&lFfCKRkGIih0>sf+L>Z zg!J)7Pub3G?tNEe>IvDcW=Kh)oW5BNESAh%@9A%~XMS%d9!tGA&{X~&IFW8!I;L~# ze{C1OONv0V@k`np^fokibngVitbFfj`}xDz6M_`$3ffLGRGPA{>=B^6f{}|mCy%!> zF)p%uT^n=_kVs6~d-J_J%j3%%qo>BfRXF;szY>DAVx1ay>61h2oN3?eaZVl1?~k<( z6)*06KbYAG%e$WO;HMdJF7MTXmDBh%Sd%k*RH6{!BI*|FW|7hUi`}ryw7{8k zHs@Oj#&i}n>H9HEu^JW_J_7VGz2G{r@DX6vDV|C9MbPkG2X5fL*U%hNNBTFoCA8%= z@S9xfbx0$FfDQWE03PwyX%7mybZ)R^U{Ug5aL4A|j##3_LtT}bh*!HC@zLfAB3mbX zns2WTRZ&mpey+TC`k@ldTH-ZaN|tE);v2THmT=^8vn~#=A#nP`r*W z@0I9t5tjo5W%(B_==ZmZC|Y0TQQ-r!SJj=3K=)LMiT8hJSbG`X!7gl_Nk8W|2PBoh z&hASvBxco_y<`r^&vao-YtOcAHRI%@CeCMaWclnG??$?Rbe7Y0tHCdy}^TEBr{p})4 zX73%sxshL9jivuvt!Q#BxGkR$bRgMY6J^k!9Es6H!4Q}Jh`kTW8AyGh2PrkmX2Re4 z&k&xZ$96z=F_R4Ci(~IaJd&(qUwI>0mVLE9f03so><;7_b=(Pb{@Z(H{+_!ig<8nt zAZ(q(MJJMXUCa2GBfrF*f^JJdpE?U9XTbd>1?eNd5GsTakc`cXcqUKq%#e{z_RWr9 zSMOFeJ37VUsb+Fs20CEu3zFO*l=#tZ7DkRBgHqef4IEu^y5NO>x41{E+aqV=(L?(v z?$3c&T)_g$?U1q12i7q*B!iw^k`FnFiZ~%EBa%t%G03Ec&V+*%Zd55h5+p{NcgJA4 zu^{ko6h&dA0K(vco|n(P-VMSBCu`y5R)RZHE;ex?j zpn_vKI}UK0!mbQ1NYx@F`1me) ztC6D5c0DT(;{Q1DWGeGl-d;5joC6J(bkLBVRx3H~&+HnN=t*;cq=(=UnSG80D0T&> zI5Qo9frNry`@9Izf${IoL{b*eOcxkIm7?B60=*lo$_#{q>phus)kY8N<@_-=_{*9!2;!-0Xg{6ibwdubDIv#9H-ni;ov$V0ISu1U=xpivVzL#L^Jh@R=WfHNkZiP~XdHEME z6O0O(RmAH2#+el`OJN+>btkv(H2NGiOxJ4}>*d>DWj*x=JM^9|WlEPE7vR@AC1;13 zP&(+{BW%V+`~2)9z>{LU{4FJ5MSQ4G~e1POeK*cnB=bsC{(VO zi}~?5^WPCPjiM^PCy^Em0+?)v447GR%aS#p5}lqdY&PV?tFB2Vrd@gMI`9bXcx(Mj zi`Z^t^q-B>J}h7TQOF3r!lJ>|)F|(`mu!EmYs_PMX`|mI-YZ>Qr*7nHogWHBo(X#A zBvfi{62qMrSjRTK8&E91Lu9^~YOddYR+=Zd2JAddimpdp;1aB@zA<@_IKJ_wx!V7l0RMBf3gat)K5mF5QmoM3{cby<3S1A*&F9%;BZ!=Hm2&u^HXeIfoud9OdU zL@Vlq_(J2GX-#Qyn#Dz&<>kx`i6+T|udWp*GrE6-(V!7fhx4_|J+VJjS0uimpUM(x zEF=H~oMmB0rPq(%ql1{ zT9`4{;W&X zaJT<@%;m@HwLNvf|NUVld$R>U<(87lcqVyx&xv-j8!eDL#NH-LRg z0XJ#^K>g!1QAX*g^yDaeYHj5pXqa0_)X-y^it z3T`I%YQrI4?~~A^->5lgPHyPv2>42PNR{ldS#m>6XHRe0L+QMI(3NT;qe6)E^}%(g zZw>X^JB##g^M^by21eEUn*?8V*!f@7puA1gdUqvR^v*DypkK)Ks_7dn3AwA~-XPNR zAuAL(btf{->GU1Jgk7wWfaJSX%;Qbu3l4u7q|kikxZzZz+YC?3FUNUQNNa^GQq?g} zNzvQ6PSxs)vCqFlY8n?-DPbamg^6;sHkvgT^oeFL;4p;19{JzOc@kVWJ>riE;t!fr zH?YKrbDimXjF(Lc3@~-67&g5R#!(}jy8~ZCbg;d`Yl}km`mM7ALe;Mm|g6GIj!6-sx{w2r|%6DNws;wJkf)r5)j@1s>-=3{{CS@WWOk{^Vsct?5FeGjW z>=Yn1pYm$35{fq7yN!+e!@rAVH(u`xCX3rTQOJ=EwD>GTI8Q6!l)yi$hS^csCf}+c zoM4$F=Dj>X)7~cX8sW3BO^%7JTmMOB3lk8B=q88a*Vs#O^68rzS%&6azzD~nx86$4 z+a!iFHuF#qoS}vefl-(GV1ew6kpKjQi`1HvRl@=RJ-o^l(tMg_Bi^NwlXDYH*3#GU z$S?7Z>e$tAc2~%B9~3lpoR%qdQ-w&QMZrL;%LDO_8ovq|oS_i__Cp~@I2T>uW*9>@ zxd=)#L>G^#P*MjW+?wRHaU@lv9D2DiheDD>B8JjEwHlg*fxrD2bzXco#QCt?2UK;h z-;u*5tT9uemM_KPT+LKKUt*%{C`F0Z0`~#)h2>^QtU>~q+mZT>ZMnPaM`HOf3ANij z5A_6N`6hWNXy##pYC5iGD=v@!eQ=mCnmL`lC1WJo85nVZ=D$=M%|<=COs_$TzI=?| z9J1kdI+y^gkLStkq2zQmCFC+g#9^=rw!(viKf#5`WoN%{0t(AmsQfld)|bx#{WHT1 z-9hT1v~@<4CyQt1PY&6{PWI5J zBzqO;e{|9G;nB#rzRR?VI@$Afc~Xa`y_7`#j_9FEO44z!nYnNIY}MO1sK`9)4a>Po zDrr*sE#fhMuT9-B^p3#Byyl5>9ZS(TJ;}q{Olw&ul$Mjs6mDN<9h5*V%yq%Fr?%}) z?Or|?n>SEg|D2*^--248#7YQ$pj4WLdD98vyG`geL=*EY6PK;palARAurnx^)o3Ri zK@NRVWM1NjBe7@|3Pic~UE8TEpmlE1r*d6j=@VD}wrllhimeaYD14F4uZyz;NFVn9 z!_=FHL*2fA+@GwiSvRY!&9gXi85w_EVEYwY?{#oQkiIdGOi z4;>k)mdTG#bXdFH#n->- zOFn$>h*B1GK~@g=-3iZD>mHEvMpk#jg8N%`Oy4!VWA#^URxXSQR|mK3HEscH0IQKB zc{gAA5|B>~n=BWY{~(sTusCQn?ane|jzu@*bnl0z$r<(QQG-y}OBx~Mu8NjR{=0LY z>8Zs%cs~DE<74?azXw#8w*%6!(^KI7V1|C>D&OTEQkLPH@^3rbVJt{t_2kq=$3!-^ zw}Dn4!p2VHP;GzqOz+)e-|vnH^~}*-;cl*&-!^6WIpUWq+xdY`|33e1=k;;?{4Ty_@EU#tE{7ai;xYZge&%4^PivR9NvGk z`y-gBU{!W4q&M@Qy*s6D$m#)gZpNEWcjS6fQ{=Axh15E?x;+(36nqE%rDcaMD%lqD zcOIR2!p3RxS~{b!d{5qv@DxNpaS1sUs0I&Q1cag41xQkJhwO1J`}EJd=#};m%MyQP zm0aFAQgg-S#{TOp0Me-`($KQ2^rO6jZs~eLJy`L1P9A%w zhwnZc>?FG`hPpsM`YP|RUpvL@My;|;@EC}HSQ)ZdByW3Mr~P4nx#-=H7iI}!$y=NC ziyjyrf#VfWET;lqS*guf%95qg-J_SFV>!b7$eHOQII1{yGYo=!G`g}v{_M1~2u6r| z8Lwvv;-ED4+>Aw1(2_Q4icH_*$+q1UBESs=`|ZkN1oD&@ zH>Jg?gx_tgo2LWWWe<-u73o4px+Hu%u2=0*|r${k;QL_ywH_y-^as|8hv z5Cl>=(`8q=C_BS@fzekXbx*34hb<}Oz517vTHs}W^R|oJF6yiQuUq}K%VkbCaCc;# z9Ykpb)6R|5tQF}qF_KL0`x~oV&PJ65QwO`ud7sQXpkQ(g)!&4_Mu*`zEJ!nYPkNe-{Bv`0`IoBEzZ|}eLX2tNvv{s%G{6*X=T$* zZHY4ZKlZb{w>f%CpXg6rF^g}2EM%jHHE%dYM;2GCuZHtgg7U<1Cd?GzU~w z59Zp6Gs)a1Sf8WJrIY2>9A?#{HBpu`_Hs+4fis^wlkZc-V1V>R$T05y=Jk>C(%1O2 zobZ%-UR101*Br~=j%_aaptbvN$&IS*NykMNLg!GOd7S?n4jj~H06l;iBRY(Mk{Srn zJfqrKpQ>1Ek55%!v|}#v#k6u~?m# z1?(vkT~UD-jGr4tyZG4N=FwKdhM8CbaE=KR9toUhiRN`E4u3K$7)9L1^8lQnI8vMG zcoIlFKwt@)hX36W4(xaT}#GZpJk@Olz)Zjf8KRLIGbVZbxxd(SPj{3l)EX_!Ck1BQ8yf+OiH>*gzu^ z=)50so9QUG*>_RHck`(I%ex?`Y`O}yq@#k(Fl&K>*#eAPf^#(p8<*6kH5BF5h91?? zk?$=o0lzN*UdIIzbF&2HBq3gAv3-=#A1ewAa24{az@8?cA~lJHe?>$E5medQ>`S8?$4khterlDdSDOn? zP!*8=yh<4;C^7A8wkQknVF>C7_*bBrF3JPLp`JT&f>_)`;Kl@VgUdn8cn(sRSA()k z!pQh;o3%m=K=t8LWX1qf0wp1?w@MsptAdoK#bS_fyZuCJYM^jCfi3j;Dw!E1r4A5` z)11L=YG7R00FH5<{Tzb0DR9&u{M@>M{>;4s=DKR>1LiTZAm}wMEg)yWP~ceeKW*@5>@3QT&2s_a+t`gz)L` zNxQqX3$Z)Pw%ea4eZ#s7_%xGlsv9Fk)K83jc~ZW;D{oZ&DO_GzG)h`?^TYYRH9mdM zpzs7c>bD01^r4eMR6B*vo1qoeW50I`GOH5g7WPl{Zg(=befHmXtvIzGaGiTMplScn z=gRNYJ=sWdvF@_t)~CG%Tp2&E?EI3;6a1hHg7Z0EEaJc$z{#=k$ z#0JZeP=l@h(aGw*hq_Mb?}1;gAtG2{81-J*8eME~2-!@_)9>nT3ba&KnF@>JDB+#3 z5P8_Gc0-csyQ2gg+%@b*O#+K~M}(%{P(iBB%fPPX4dFUd@`y#pcrMtaO51~LMwi9? zw1KYY8@Wu{bHSSaa^H22@-B}&s`}bk>t-YDf-}B)2{4_ZIab$w(pu)`;kr?u=>=g zUTg2xt3Pik3Ql8Ja97HSzILDX@~pc2xA?8Vc9x})aBTX0ahlit+^mej5NGDj1<`3F z{Tf(cgWy$mkb|T?=h~69&?IK>|E9&@IQ6II>Ul>uN08!6=J1p+4LafXL$hxvvQXEv zc=AI%2%`yOP@7V#Pk(Z?-}TACX!`zA6qRV6Mc3n{24N9>Ui))FmQ2-^ZVLTk+zB`6qHwxVdTiVJt+RMVEm>dmONI{mYm^|h;k#V-0sGnEH? z$Ax}_|C{SenLy-JgA2*k@|F7RXX+U}2AM6RilFrsq-uESHC)6&J#@2}9PpybxN|~s z8ae66k~Nn=FtSeBazVyi^Du#@VjG0EI-Fr}-WJulO;}I)pU*P$s}4p5``_&{>OOJT zDpcO1_Sp~_HkOBGr<2gpkmtI+P<3Uk+U*|sUF_QKsp6HwIbp6}wxikRULuPUgS!Zi zt*O$I7BrB@cyq9I3qpBjxTjKP1kmcz#}E+$6EV@8q{Y znaS%jE;Vf|6OUwSbE(YOKi0}V=R(akyWE&_e>(njFn$aiZm_4>BS1-M8Kxv4tYzH7 zB!(Dqk-^mfHoP+KIrakcxtJVc;gv^OK=VL-J7;y%!~qN@P|vHbfMo2;w&<~!6;UDT z`{Cl1H-t4mbe14Bg26i?>G4hJ9YAYa#j;*tzOv#wFnqC>SN2L!;K>aJK$|RK^~F<9 zspD#V$e04da+13p!B;Mn3yJ>$379YIDJeDBJ0GRVC+(jrGOXJ_ZaC92m3s1g%8CK8 zcS$3y()y+edAl8gpT(=GzbG&D%+ybKV%`syf0o%XRr2-eCvNC-uBTkG|1PIV7hx2u ziX`2MJK~wyx13Jymx8Rm7c zK4$?#2_Q9hwP==C$bwl~Qw#fK0)l@IzM>s7C zWOT$dp7|sLb=IOHZy!qcX=N{ zUIn4wcTSi%e14-keKwZ+l>{x3xm9Hf&*KSGM|#x=y?*3LRnMb>g!ZNe%{qz8?p-hI zRbv>Br{NDE#V<%>Op63B%f=q^DiHFK3F4c zyO_0&_W&Bzo;I@x2oRDskJ}`=oJ!EmrSt;iXhQ* zkri9koh0|s3VIKoM@J)kyZ8C7P4}1KG5rf2t2~4N(auooJ^$VFy*`DS-f5uln^d|+ zECt22he+_O`j&VvPBovO(m!-N*k%O>3(sx&;^#WZdTlA~F)68#uv(tN@2RZ z_>+|ejX|Sa>oVRc%;0^S_x$aW&FNlS+;Cy?zOucdGZxULepJPTO}s; z!2htH+MD{&;N8xp_ky&j3*Rw+p_)kewlzf>i;mptm0dpDJg>rG2V1>vaL8@l1P&r6 zQ?<@KtBtW(<5B;4SjtT^(WO8Lu{#`X6Ju5O7jikdClxC&m3{lx?H?NE*WOgnZ&Xdb z8_@ltVL_N$JAC5sNi)!--8Jh=pBpfjWV(cC$s#2ysnIT}nzH-@ZFkxPc0ImVC=;Gx zhB?BNEJ)Xz*HI#~XY*Z_dPKbNqbDKeN#2cyCtQ-SQXwGJ;gDqFJ2T)v78_6kG!koh zGvIQCTYclCFUDDU+BDb&F0RwWBR=G1_zr)z-}o^0-|T)&J%d+J(w09=k1NB#1pUp+ zPu9R>+J=(-z+X!9AH@$Qjj>D`Rp-UWmnACSR*CozXQ$!#} z60kTgW=>sudgHA%VW9d$y!Jojhg5vvrSq-37lPOC4_`=?(q91qu>~}5I&JI}AvE4% zT<8k}78auSPZrl?NY%1M^EJ9Ia{@cc!3kD!`zZ!Vrh|l#s_SUwN zS9xZR5#GMh)uFnp6?Qv~e$y}C8MI!W(_iOtTazmdX<6l7F20&!__k7*ekr!mBp5IJ z)5}u$+qSuP#Q0yxQ)|yl8(c2leS|-GVv_lF&v{3%cXu1W%V1KWg@H$x(qE>RJ8Ue4 zM%b7f!96F&JN<JUq9LMd6TOsv=RR+=FHMxV zIGMleQt;j7XsC}r!j4O*p=M(xYG|;(Ph!Jb4$T0*nsgr8KM3V#MOnfP?ta`m6A!D? z@89f9C_DwjjKFFHASLD{>bM{-Wve1jwjB#2dl?QTM}c8|1Q-mv<0$ILU!W(1{J@zy z-MQVaj>L>3YFs73f&m1G+m%PFiIF~?9#pmxyeylpbS*M-qo^2R3Xhbz-G*p%e92XR zS;iu!KL4uF`5rZgQOU9_MXS3aU8WvqcHR>g${7k%e(o(tK#2U|uU?0~$5oq#<}y$< zjd4=wN^3DA%WFrc!lFQBhUE}A5fGs90MMFj3lO^lr3chvC~%Fcdo1Kp@%8K81Fzw=O_EQzx;*TgL-p*+iKls(sT_I)x@)k z*If6vO3*mefLmikfB+wwt{}6Bex%nULlSQ?<_%;^_Y%h-wXEN( zL~qzay;0|8i=E&ugy2!k18dm;ql|S7GXPXtNV8sZ!aHZZaU9*6xWlhAP9MUvEhZSK zthUpH*toNKRWZPF#_L91p*o7fiy2vq84b(XeDxrEj~>^PT9B@CtPXW#91QbDh*cP- zCAMIFiYV04NFRFf)DJ+jR zidmo2QLZ7gx(0&0qJS*8=w7F>n;Ae#Z}ZzzV(??HqSBGN9I!%S1t-Dfz| zR~-KB_tAw-uA8&2PY3<9mln#!$nMxZ<9fs6!t`QBm$bHUGCJDdul50FSyjkkkB$MR z;8S_%7s2P;&CDF}5FyMWP*Q;VM;Ko@F|Bsx&DNd%!yor~E^Kjp^!$zf@y=&s#oMsg zp5yBoFk83^1>D_zg}T|0M?_~Re6tgXzyGm!|Avxu^9IBaJRgx!$gJ))g0e|kHwx~5 zc<(@N*flk02d}BPG*iAZUYwM%eXMAIJWNwEQ+8s!(A?Fe?0U+?au-Lgzw-Q0Sd6i( z6-BVh<()5&E7~LwN|Ft4x%QMZhir6Qw!h3qb0xhVe~xcrZN$Us1WPcd79XF+SdQij z(QXPaM9HYn_~l5PGcs>OKV?wwEN6hGsewG#ldArS-}#TOnK5&biVqpiokZ>`JZ0Kh z^zU$1ha9m;serkBPM5mV1sjde)4g-+QC8k|jMQKyoK103ub)w{ulR0<`)SeTC|&8r zgig-hwU#^IhGjPLnXvDE>A%<-38B!klGuwJSI^Y$SS(*!>#LOtvhw*Ze@)Nn{kdc` zDjR&}!MGs|& zi7gp)pS;W^$t2&RP(e+IL6*W!b7^Lquik#~$>PokxHfOcO7X?2@F<81F?z@xQ0YC# zsF?3w=2@%>0q&F`;HF(CGvCqQJ^dHzLyum)8T{o@nm&fJp$wce;Pl~y;H2o7KEd^F zzu1zo*cKwE{a&&K)>x4VUHI>2dhdhAk5Lcfqn79FJWHaJj7AeDtV6Ru-M1H(Np~W~ zff-J6?j&Z$f}x<6>lhdJgdOz_`SjZhqZ5HQC#=c-_VYfkL`pvN&w2cXLRmfiti~qU z(Q~I3G8|keIm321za}-Yg3Jk1c;1EfG$TcWjvwLok+yBs?^{>-y=sKVu{N_GLPS4k ziLw`U`OJiFGgJnyxgIZ2Dc6TJ?gDN?Lj}HBTvuMQPmduur!u0^Lf;tQ>A&y%^T}=B zJ;2N8{}kBc5p6aNaw>^_EnqWyWO{-r*iX2j?DD2owO>lU9&f?TTz$`cYbUma0jr?I zBbgdAJN0;eYT$>URGJ}RYZ=%`3hkP+pY#6%L=eZX^EOdjhe-x6CiI$Wnk}!1;kd4f z@bv$5yQME=h?R=}#iN3!fLSD%Rs;7C3hJHv@F|T$7ndKVd%rP3LJ@}Y9XikV>v&#tN|KpLssAn=LgPsbeR2#f*UelEzTHNzI_ zl!=fJ9ql47nPfk_gCAJdFT-&8CCJx=NHetn_x8Hl)atFox#(HMc(M8b+9s z-FcN*yQ6J~0xIu*VHKS#^>JI`$sD~Q zlbz+~=ONK&ch_1#M-^ZreM}{Out5N1h-2|xdUI6diW#2ux+UUQJ5cyr9C7EP!VFlI ztx}i@_238?*gEioa&C(Bz~DLj5d`E2h)73bvQZq6thN%6@9O~X0wj`sU_b{+#6Y9} z|7s7x-zN{`2nQ4uBd~TrL5~3Z?muWTqI&EHD%RLjW~XoO8|-5oJo*1w{l@ zZJ>$;q6|oa1uINT271d!X?^ho7UsA*K_t-glUIT4t&lCIG`bJZwO^XX3gUxVz-^#+ zDVx5CfmcC%8!kusNuObzY|DqN<45BJHj07Bew`fHBmsv97ylwW0-a?z?opv}c z8S)rLIhKsX_%G_W2P5^S!^6AyA!Vu1CGZ^f3v) zI_f=swKF-s9Y^?XkYkkp1Z1lT1qkLs8Ur*RUO{KLpek_KgXr9LrhB64X`vkU;X)Zb zvCJ984u#0s;AITd3ho8V3`&)>PMZq^hf{e(1df=iD~xdQ!!)>Wo@P zI%xHfb6~lXjn#!`ON2YsC+Wz-e=HzJ zqbA~Fy2)k;qCXG1uxZMQd}1=xkbQge>xWI3zff1s@D3fnU-oWVY41LB17~^dpXybb zfA3#NsEtA9mR~lj%XIiwk*6$TeRu4eT6hw(VAcI-`#Vfw8JZ6To;NS0wnVw5hG@b! zdvADxoXN5%D|oHmXCkZZ^8UMP+$+Mg@{1~tone~d-jOUsgQ7uq)vlGjsTOMx&v<7! zCX)7sDsh&K_h_*p;3YI06>e+TW)g{kZ}G0oefV?sCK_dDx4Ba4E@{Y^D2OnZ_uz$O z=NjioAxd9&k(7)j>P3Sn0Hnn?DbL_Gfx0#wNoI^!srKPd@wEo+m;RaXY7>5;xR+t; zHzc}7<~lzX9kUZ;I4SI&;xH2+-1B+wG+Wj4x=Rjy8p5B$U8h!>sXNVWjN;R33Mf&X z!3rMPeIJA0n+3PtmDb-I#?6Ut#U==ZXY$x{8eg|waqCSFi`25cGqI{KCi^4ej%jUs zJ4@)yhJNC$w!(Y%xI-f6*oHlfUm3=W58o4I-lMrFtsx8h@6u#6O7RV!Xw;|iY@-%V zVZD#oi+-p!g%*2{U)(F@3^^2iIvS?Z=F?0}WCd2)7_pmOD;Sj)kIMR4j~sHZb9ZTA^Hf69d0Q z6S4No(zZ~fqjD2nuireZDLWqPZ~Hjahs+WiuUy#1;nG4KLOQP8<5G{lm5#d|dJz-k zjW%ElJoT)!&gc4^S;_>T(!AZ*k)FlJYgy;_G6MayB-l;dT-=_PT9taCn7yyI3D;tH zgOzhH7Gmc^Op^_?h zTt{;)$MqFnq!@Sb;nM$Um9F$d5?^)Ya*1_Mqw$(HRB!5$#dKxo;od0hdgku{x}1&d1McT>Nr1P1-IpcoLx0@-h>`BFA@ zG$Q+AJyyzpa`TZ-gadtm-i(S@9B>f+!gA+$Xo9!)8u-%M43N(x2+qvJ$G(hd#7E(1 z2-LrE0qpb|T}Kj{fgyZELM+mB)$=r)P!N~LoPj#%FS2J3fhZgRoc@zG)OFK)*BNX9 z*fi&QNXA?qBy2z!XmGKw$P(ZR zTtiR=+L^cxAr^k4j;*c^#ZcYy$S@_1`j{}HV_(d$F;a6&4|t)J11G#)G~*a8XD_nJ z*HDpL0O<|6%Y>>pj4=^M(YBhs1juuG7DjbMM~kooaro`1&oG^C&Mn>HD{?}Aia7En z7~k@6ZtU=uwA)43Y4qopeOBvY)4~yf!*yw^Pu2ze&~bWc>f=b*)*8JSg(_x$ zo@8g}5A=T_i#Fw+pJA+cf=3*IS;U2tNbDdipVXE+y4~=NWQ#F?SvtrxoQc`8{ zZ6WG*yF8u9syn%vH-_Mhcqt$Sgy7 z@=F;U2*^Dv8ZRSj+0RAUxZONGRvF1IO=UfhdbcrSU@Dqa$TT6(aY-0c}vA z*ywhaokz?7|DA^sjD~3wcvKMRX!w-#WaK=$x^CW_@Ln{Tbyo%{&q_zU=-5#1Dn-#M zfjir#%um-wIwKFa4WT-ErzXswp})(qLQ*@7h4Xa}4e#!y72de+$fRtH9#kW?_m-X6 zyWjdn%4W-Ny*FRu+E`!cki&innM;KYS}TZCWP6|aucCtQ$+48FxS5p;$~(^4N_5($k5JJdzBjP7N2M=2o9dCm)`!W$^&Rej^&S+LPGH zj~7*Uxip96j{^CUj2wOsy8aOUf=#O>zLi}WPOA*%p6mF0xfc8NvP?{9TrnChz$iR? zZTD*#(WEDgQrNqz(O#1N(>3Dbc$wFmY~F$+$87tApE|u|I#eC%>i>22yvy9xlAcU? z`bxyZdu|?}(gGbo4UUd9PjuCtww5mKe}3t#h0^|#faOU$Uo!m1@}R~!V%q0aOPu$P zYr_(cI4%q58yp?k>7v}q<*wdD_|$VYg#IBWY5V`+a) z&vdTq;u$Pj|B`R_tCfJ?L-77R-Adw$xjTQ zB}rOTeym}xIu~eC+r|-atf(L)L)GiZz49F${H2G-MjIK|AsXZ+&KFnx30=9b(5-!v z^O9KGzm}y@gqxt@U@vX#p+*Pf!Dh=O?U7{juQ^O%#)wC$$Rof~$D$O7CAiRHBw>ui zm-9e*2ijjC!lQvgNs`wG&&s*w+yNW+0wj)f2BLnsq-2DtNZmPr_x#_m`+)4}r46++ zb!fBUxfqFHWo~4q%{PvKo+OunWB*|H4@w{opLj4Q59;V#<5|I0xNA0QCV}G(_T& zbx_GkCV`SO&d^kRGF`_RRx!SuO((!ahr$|A>>7zLr%|7$Q9-6K0#dO9(;RuaWS|3F z1AAgX$_nq-j}9Tupf;EKpba$A@}T1?8*Nia_KA##A&KNW?Qo2`7l!@2qhKX=ecMz< zCscU9OhhdXb2x73krLl*AXZ>nfZu#qH5d$*9YPps8dZ6EQ?XHd+K>bWwpb^vq)~-a zjoR4vrYP4z@DFHy`F;VRQt+lW&|xM(_9_YUCYBGUDE$iVzFO;%QxN^*tc}JK{%a7fMW%B^J{T zo)cuMXUA{yFLqo)aHb7vhh$rE0UWya;5-wWN+{19!!y^bI}18%Pzt5J<}om3VdKP# zHaNk!Vh#0qgUlivl-`xE+=Oxz@R>>gxr~tH#EIc;Y}8Z)qzJ64)71#5pbb4ega_G# z$-KKI2D0GgXY(Mg;QHM?=JnHRe|Z=S2G76(W6-V03D=QM>*~BW)hA{hb=#$-{rGd$drpvosJ!!Yy%KvX=b*JsE6N3sR>*A-fLR{fBaF< z5>O(m=hZMLfOkfktZs9Q+8qxJ)DY+|0jACQa_re(m4wn}U^bqzT_HAaxP^c1mDid# zQl$SKbEwVShHcj(dYYb6E93 zwz=e-RW{x7?jnZg&Ya~Px>Z*GJ5!e9-nn278U*VUC?CIc9sy%P6n%grJDrS?=GjdNC``I=j5k%-tH}qxi;-f z^x^sB1&8c_JMjCugV!FbuEfHK&FdS#_ZQa+Vt+Nlur?DD@yZfiUCX!@upU}2uV_0q zzMOaa$qW}hM1giOY5Hwmg1(q%DePx}pJV$z>d%c(ueG9#9f56gD|>iBu+Xp~E%n`#*-Lv!8_wnQuC+F=YchjB(s=dMxos;s zC){eM4*)eO{1p`%- z6meDQf88!enVJmwP`G(mu9kVNDN9XfR~M!;41_pQpX6HRea$^4qnyq5n$vg?bK)N9 z#(;%pp5Yi?tj)k^Eyr~Yluu_S>xUf0ViiJ(zr`A81x=D1H-6xi#g?EP=D~6y|6-Np z-YCV$PDaC)ioVF=AN71`{>`Q$t>3tqE4q=1p~9Vg6_*W9#GB-;k(tk>Bq@uh>9L)E zzoZ!6Z}Gy-hvjS&Hv}{^S~KcZGfWNm-81XoNJ-g37kU#fqk9~y+e6Ce9TFHeh#u1w z`~4Yz1OH=fUdWq?8ts8Ou2WHP*hss8{dFvtrn!WH3l|tSusrWcMUp*0lRj(fit_?d zzI<6^IOsZoh#4_SzsiiO#QRcZY+`*(dp1{d` zoWP1;|Ifi^iv)@6K&-|@bm0b%#BE?6Jsg4Hhl~@=dI0BFk#O&1R$%vS=l*j<{)hCqMT$S1z6=MR9lcatx?Jj9svu?Jh1Y; zKFOisNoVrigfP4+C~Wer+<&2>n8zhE&VrhNzEaOjY$z0Il{HY2-ObggsuO|5Hm^}~ z&<5OEi<Hnirl1y1D7TsEOsa9^$Qb)2!e{V~tXX>oaXt zzh9yk!9{Hcu`y^{Ou#mcDcIea-Ven40xD*`f_sv!I&iZV$(jj2a4A?&HOGHdT5M6I zst41Jy|%~jkbEi)IgO40unrl3a{-l|YrzEZnDaM+nWVJXhd;915Ym-09y4I7JfMBi zSO(ohhM9;*d9&akr@N46up&+WLz_f^L0Srggjz+T5CB8I>B*?f}aPt9^=hW2FjH_{39hOf4I z9cmId*X9q`l3P87FGO+I+%CSMcx%IDzVq(;C}~r5*}nI#c3z;s?C1Y$C3Ur(82C)* zs)q6Fc-hW5y*o29`rBil-`6cxeid?9--f%pLEhwu2A~k(f%Wyq! zHTM|(!qG0{b8rAzTXCmBUDogplRU9~ z#OOu{1qFTFrH@Ng+uqAW6o7E1-td@6)ItNRwP+Nw)>VbPZoWCpu_kc1^}NxyoS4J# zoI9wYR@wRQHpm?BEU!s*rMbLGggun!-B<$gsUgvV5cqa73zV9 z(K}c`!KCgASRk#AnzG*Gm6$U;{Q|X>%#)dATZ@wC>vSJ1(^EQ&7kOm+QQ&3H)ohjB z9-6E0U-f$j6D5vy7>HVFkQ-__?i&vGmxx$yx5f5mbp{0VHs2+s zs!wcpo1EM%8n;cUJ0xp+X3I46)W;%sz+UyNPopJd`|iV+Zgt59=Tpv+Cr@N1fb-Ib z{WIstM)#fT{cA|4$VvN^jHP0W^60bA9G@|rjbdfyea#!M)P37Dp8|1pOY)K7I#T|1 zS6;~Zk`Gx}G@Iq-C1PQ8EXPe=paBo@C-Xa_N}>6#5f>@YaEm*)p!g+WT^#bDnd+LX zmy$WSQ-t8SKwdUQ9FI7P^lG_8V9@|eS>94J?f-3R?GCzCZ8pGC&EsSuurd8#2?Yi9 zV08<6SilP5u%iWmy2}S5*_dt<><*T`k`z>pe4T`?tga>?f;)rImV>$sN*5UX4&gc2 zv+EF0+Nz%BV63wm!4!l6pwdbVxRuEh`0lH>^OgPm{$D_@N0RO>-!}|!VA&!h|q+*x20MiVL zBJ1${>ZM zpuxll8yBO}uGxU7s+d)2hv3b``b43~o`f9kLU9ZqgUq5da!&+!%V-Hi0~521LWt!^ zl+T>u*PQ9YZD$i1{WFp7yO$#-k z(qv=&$pYB8)D1o|IkF{gtjHn=2C8)Tu&{bC zCA_obk8Vvb4ga)GWhQo#ZYvi*<^P!U=JKSe-nHPOgkxo+n}irMPxKk{W^3ZqD0g*T+nWX%XUulRrk9I3 zyE1&retz6psSL@fDmF`K>|Bs&Rm;8h7dp!6Z~uD7o2+h)PJ=wkCFB)*svJgG__{CV zfMuxfLa5y9&pG$*C3}5X2w!4ms-lkYRGbWqB70wn5-vEghdjb~MTt-VAss!1)8^N# z5$st5WrnAyA6($-F8)MOz$rfYTEH@;Qqfqp&PsEuJ0+|MELKffOGkuTvx#91TD!9d zQ7P_ai$>LUmV9=Q!iNgZn(s|r*h6PKwrtFKw)on9|MC=V@62?I7T#tH z5DEWU?KBp41k4ex==JW|+}dxQ9#7aq!N7Yi*nX{G8}Yz2IF#n7`feSM};>Eu!k+5`SWgjSXr_KBS!=B^{y~U zyZA@#SoGsA6K-A>ty7YyGC~Am=hw;XmxHj6^L#r9TKp%|$_b{(8gnK!Yy@>4NY9_# zPDbp4GcAe>=eumeOahV_e%6b~U$0X*CArN^o9>^Ev9JVr1-u(7*e||?cL$v}TaSMl zFz1&U)INV^V8qqChwrqfI(z={YjWP_f{ACVj^F1URJT-RzFWR^&t7d9!PF{O(Fq_zUnnW=l&K-)*Q=D7{GCCBI5Z9lFkKJZz~omMXSE zK72iQz@_@-qVCH@%t=M8TdrE0mW5BD{jh%Nj&{>l^&^c1fMWk=cDt-7!KwF@8(w2J zEWV-LI??~t_fsm}9rw=NGJmXTdY@F+Q{vlcyv4BpabEQUr_3=O+@K1!K3bF|+E7(M zDy*kU_-i_+A^PH9$O7ZE&;Ba*3%FBCZAyQ=^}&Vz$HR<3$1c;GkQg7_7$Hxj>`+=C;ie+W zi~x=vgjoxi>GHi!!k@@Sl!C$SK|;E|AsE`0CjJK=2NPZd?Bf_zYQ*)8n!)_!=Qpg;X8T;$#yhRuJwGYr6Kpt3GF}Nis?(L%K*F!zmCw2*e4&K^v65@Xi6i{|qFCPV4D#T~!4+qC(ID z@)t`JVmv$*d;E|R9VDssqVV=;4M}oHsRzhy$*yUjB?~2{3Iv83*9cYR@-^-S({)(E zQVfFkW(#tMI5#MviKB+`m^N1ip4(wkzkLkPwOA-Qr;WGdTa3a+f&J^**s%V-L{D~* zAFjf$)F_2h(q-LWUx>n7aKwg1%*5a-@`-n84wDh`jm-`nQnq40hFrMOcZ@uRXrgPpRU zJ|dp3OZ-wh_bvc%3Buv{^ZtUQztP~6DY-c1G|5PPNokF{b7aL`Wr z%Q^@cx=*h_*wZS8#h>Ndqk68)*RwPfFiY}wbow?5DF9KYWm<8Y|tFvES_=XIX1^S5ZaU0n2r6Z2OH zgxfM8^N4WKq3GASFKU!3=?wgB_a}(dTVN8tg4R8(yj7>cMnA>3)~uNV1`Pxz)pu{? zN3OThfye}wyJGy-Z$`kSrs40!_cm`RCI04hgnjw)aB(oXFdftF*|O3I<+bG3jZL@)u51#5*S9nlnJTmA;kIr=W2NCSf^A^lvFp>^}H_gxB|)k zlp~#qS*P-@=&{|tXA}b(vzoZ@QZ_Q|8JrBetyi+wHqeJXaPb9@P4io88sy%W{aqeP zpDFvm6mjuQrT@I`U;+Ibu8#Uk4vo6LCCp zSsZ~WEAC;BRBnAO)YE1zryn3`(OL13G!dzHje{ROU#U5%V=@OTmcOM(C*zT`G4Xb` z`xTR^*m}uW9>OH+<#%p3QA@N(n7{#Q;6H){l zP(LDpZYGg48XR6qk>Jur@6@m&l4u{yOzf00AWJxzq-j<_yP(GE=w){zRiuVUpvjg> z)Pv`Ntsq!7LXv7nx!X?GL_Ml*Z(@t~1#x&Y4@E?qpW!>cBC!I>nEMxw0hkYPZ?go1 zuz$NpR_NZ5#r7zh3r7*i;69g|@$)tIvcodp6a7Oe_&_h&w=0iummDCQgOJF~&mJX{ zeJ6S5p!phvKe^72f@6}5!LU!3x-vo+-J2CxagR9Kru+>ykX98?N{g;#cN`wg&Pw9Q zSpb(qhV}g*PC8(Gb-@A2E=d^Tb4H1-T*oKoH|_?B(s0iTV5zQYT-ayb14VOySkIt^LB-du<5onMif~)%?lF!>VvN>97 zTBp?mm(cwwCt^};(?P&DI*}BOKo)Zhq7c=f)^HsW#RCWMYk(x`M9cEDMq4|9 zsWpp&Qcz>YKzi4hFp+xl0m76InVQVpfKfIdWcmHuF{#3&wtW$aXnZw+hB_5mP+^JO zS|@fHqM$_zs>NLmAq-z5(6J18XDKTN3Sg1)R2Y<^{A*1Kna_4q2Xj zEPew-+0IBKD_#EFC{9^CiK5%H_NzCH`Bdk+Ien=p_kF^FrG7FJ+qGaVw;laQ!%8DZ zAtx@PYVY$@w~a??I`P#T=Yi1mf!>WTzJk;h(I5ree1G_(#Ymm$X3+PWyA`RC`ALrIT0{SsmX7DI0v% zG32Gx)nzvP$i@Ql^ytA^9o=QiGyfe$Dii$&6JQ*fEpD;bUlOB~hOh4M()FOXlBST_ zGant_T8^k82TSu6#WNjNa+COogiG~SLB;3ZS~Mji8j2!9V=eM8R$gK5?1FG@Df`VB zAA+hEvX)}_*~f-Yv%s4AUXhx(dn)wdtmP(qp@f^;u&!=P!A)gomasqn`DQ%x^fKzK z;G-0H8^YOGXxfC$>Y8%vtYH?n9`(HPPMvRl?;;&Sz1dU;E5pID@2nRTQ4DFNFSfe& zt{@bQoVLmba|}aMp;(VpI)Q|q?sPeoMHIcPB_BXDBh#5J4?W4(2)j7nvDs=0ieAY! zxQ8!E))T&#L&?NgI`C;i*7~EeSt#4e8fu#ZdCpsQ@d|4?dTJ5?H|L9t@LVI!``sv z%4c!!xu{Y?1$$DRNC6_bSV2sf`M+bWHp#$h!&$TNs^P!QVa|fd{RQJ3utuEX<}B&E zd$?#CSkdsjhl_}K58gmGor=lea3fP|-;&zQO@$mOnlx02^fnsoGew>%dY?tjWFvcn zdDCUAORJ>c=DzieOMAgZ4l?igCW$&Fd>)&9sTDFcBv3wDI!nA%OfbXql}T#M%CGM8 z4|tFUpHB{Dd=}XvO=)t|T3l%TEFq9Vj5vJmlRAprd zV)a0?dZ3;GA?rx;`u}GQB_`1GBJ02rUZfw~P_!3yRc^<{J4EC*ll-g({DK;zzQ5TR zQlzWW$G{>xI!Px$Rz`sM*+&+D+pckIR_9Z9G)+radJ*C2#rM02NpiRHH}l+i+I)7w zwQ5A<-d>YWb#6FEi~He*7)(~W-8aI>Vj%=O(an^(SXJz_oouitP5L>GtgB{kJ7it9 z4CryS6dJN#1LCyVkGAQQnG~nbV>YIyV*#f-qXZYETC?9pibK-az=QG zzB{tqdgy~Q48q`@e&(%p3QNI+rD}k;*B;B4dUV3kV$aj2S2U^t2d;c~58N>LNX}Z+ zCU!zpnYT!A9JPW}O|Cr{Y36cgz}tK$U=+ofYl2_Vsu!Jr2^GkV+DGmf*4H2?0!uew zs;C88qn7FgFyz!xL?C@MM!z5UOU*&)Bk}dW0S#nM03SmiR0$vbDfl=62#Fv>lEE6t zsz(z`>r27i@{uBp#Rh$IqPwLhJ}05(zmbh#CUV=5skm)dJ2}>Q#I?CS6&-f;t{v-= zf*?A+C}Gh5Vg+qT*|O6C0|+Q2UQ5+q?7`_wF;zfye%ZYB&*0HWc{i%q698a&u6>rs zk*&A}@*tQ5O&wFAKRF9K-MrNV==)&gTBnc)_|iNT*K?-P+^~>Kj@| z1Z(pbdh1K_h-AJ+mUq7eFFE0H;5g47+^URf8N%qt*U5825}~Pv3;5Sqr6>LdO!bGjd*TPd`#Ka$cehz_+8y_w)Tn(E1{Nem`ZyhTT(A!LdTLr$ z2k>3W&Lc#2T6My*>Ed-YF@H2SL3}KtMZ!RMQXt#?{b`y04R9Kr3);V(!Ny z5MVY%&FRV#+=?lK!3}o@6b+(Nzy_E_&6H=)V2gSM1!e^%Q*uJ^qSgSSx={+&xqq{4 z&mk-)k)g88482DRJM=4M`KX=Xkn1wHSLpfvUFy%nsj>=(UjiS428wx)PerxxUeh~J zZY0o~blZ}ov$-7DV&KnYDdu|B{Km&B7^U-71@)*O>3uOos9c6j;tRA!irbAZ00?FI zMnTs|QTxBsjF`t;q%QiwE0ABOvTs{e_W8a*vsjLQhz@YA_e{)lATcrUSC9U z?Ou3(Q$G?C^I?bR@7D^jW0!R~I;FzoOz>xtRFS-uD1h1n8MqU-ZoqV?k{^O;I?^CD z#3FOl?@ULj@VxGD%);^_>QuYHjkM{9gcUl2z>sWOCwY<~*86Nds-V+$TOoCo@ znU}lxoL6|4o&_lkKTjstmusg~~9jEGsiC77%92}%Z&FduUuCVTPiW#UqEg^5( z$thj+f@_3h!uh--H@UDwAG70jjXa^qf~FZZD+~3}O0!N@Se$!q*qo%9kGUQ=mkaYo zq#upvyYqZw+R`}n`MVZpTX;FcB*n6yI3B2Fp@2U8@}y}W>?dpc)hCG#mQJtcL@%}Y zUC0ggx|qdNJ9f#{FP1yfXWxbV-QZZaY~m$HsyrTSzU#VMSBJw$KBBm}?BLh^0A}gy zR6m|<5l86E3FU3!ThD{&@e0o~x8DR%V2(!#l=NtkpSjc>&c@C~#Rg&qRD;r*QO0cZ zsu-xcRG0a`_uiEni1ne?H!wElrnAM!03+s3b>7%Bp@yNxHtS>SFh_)}w5e&Qf|oeh zPr~)=@t{4mQ`q^rw1U*JM38qmCIxC_sIlEMS&TZ2s8etq+Si?6k(Z!|^PZ0YA|`ds zL^>n-eWC>6xQvGmZFZ-eA1m~GO)I^}k)V?A^cvh5pMe5lV5rd)d2Vk0-?k5^n;{@2 z8VWS}f0E`AW8o;WA=UjIl>>Nz0Q8gto>lO-a{*f#Ecn1>lPdCPWby_dR_+e&5A6@_ z{+H;aYWNE&t!EE(ry>uGa)X0gOrGui1P}p^1xk0~hTK>j>Ud~R*#e4#{Vwp7)8&E; zyMY{_My{<(eg9#wI|+`TR%>&K5GQC+heupq54t9y(?ADbKrN&q4uoM$lAUxV2**~8 za%h*82;{jha;zQsj9<=rR#Qigf`Tc8*JC6b2$Al9H80hmR1x~Jk)q;pAi`|@#C;AbnI@g7kr`;wS#!OsyWeVuSVxQx>|bW z#b3xmQ2sz65Nirka6P|ksx@KemILp3X6-zk1Kd3@3LlkJ=DY0zg%@WFJgNc|u& zwYgEW6Eohd-cjVQN8&cTJ(+5(ID-{Z3FC_nOtQE=a7jwtz+%gbp+WfOC0*`S!x-zp zz@)f|7^W|!5#E*Wqt8YNJ}A%}Tl-bd3j6HaWA(Pz%jiQ{yb4u=ppxDF+uV3i!{k$J zd3>qedI^L-%T}$DuP3=}nrfexg{_^oJE?|t9xts<%A*RP_kCC?e81*i8Qq<)v~H4} z0UWv8+310(Bv1dY3U)((=F7<^(j8(Eg)_zS-2pe|6O?=e(fR|^8@f$y4g^D^G;3=PmqghR0iq8);(qAC zTXRS6;xKq+9%X6varI6F>es+|K-~w#@iEVZR7;OtoD)05spm#Q`U9(U_)s@+-Cd(O zx3>3+{$HRLMmU}rj2ojb-&0<$8oV>TN9w$=L4tm;v z9ox4e^g&q3U_Di#)y1ETm&+${j*P}~ti}2_e^TWr;_k6;FjR^x08;Ep4WsD=;6jbp zqj8e&J%tzb!}K`;YLn;(;}@dkhEzfe`c<0#Mh|b%Zsy8h{D6-Qb<-&~-H8!8p{v{} zt*RaZkXBDB&DYm(?=rpfEuVnm4t2)ii@hEESmuwkf#Jm%*JpNu>SQKXcgvV(mwbjf zj!p0jvdwLZKffG-ucI+Sxok8G>o}>mcdBRO2q)>?If(F7UqB$^Hf$i!n?stKUPisH z9M>Bk{e}2W2Xt+ke&7RSwNo=bsU9v5yx;$YiV`Yp$w3uCnw5{}mDz7{W44gy50l>h zx*=%g(Jqv)L|QO$!uE3;*-hXVaYf6hQ%l*>P{K$$FZO(=-&PEXvn2N&ee+nko+eX_ z7{l7S_3WLxjc#*uEq9SmH=_jkY{WWkUv*XtfF8}#>U*sRvr~sER0;5x)o<) z|7;yMN|9}|6kneU5~YB~w?gS!owHw|C56Xlt*kb?=N;yI=s0E7_Zx#)>Gk}gMXq*v-o$2w8)lvtO@Kch^m)&{^vpehi7Z0X;VK4r!ij&YT@}aI zI9gH3a~%?fiw1rL`&#TmeZI(XeSOp^KbHF4e6{7JD!P49nHS{!d2}}}?qZS}+seoA zIMm5*&qA*8K#uEt%U5+fVh7_TPrRUey|Et!nSRseQqE?Va$v>c)Y(25Yy4*WU=rpF zI{1p{6x@lkulpbDx{i1s8vl43HiiqaFbdKHd)A4shBpHZQ2D23xiv}iV%gO_%3!n@ z;B;a7k^iRSg+TqVH2*!(+Lx~3)3m9jmmC<|a%iTVeQk|ij{C0!6ns)YHqYE0d&amx z6;r^A=LLIKQlYW(1%w^p#N9edW2Z5*E;H&(Xirn$U49}n*sV6DV%m-2y|^(l&6}M$ zr^bUI%{)&0TSKZJ&Uzb`N=_Z?*tey+Bibg+x{SAO{>pFY<;quCL!AyI<>$fedV0y! z1dy6-1UKpnv`N}(jNtq~8V%V0EjW+rJH4n_c`-fD!~r}5@^*3(al(#kX@eX2F>`&5 zR7n)`>-c0T22Bx1fLW-}lO@nlR4-iqKl$SVtG+rwErD<)XpuUa2U38y=U@0!9|bL- zooQI1KvqsUurH-I2e}X$Fg)1fp!AqD-jIa&oW1X$A_(E6{9E1~)!+p$TR;c2gf?`F zG*Y(pU>b++TFSsS!%NC*8MK2le)D%e%+s_9vl-@~#lqWmkFO-1RSEs(` zt5h*$!{ANq>Rj{Ak&}am`0Wcri*cyES|0*%zRCy5E06Z-QEd|VlHmh5g+QqkL_YRo zMTQ?wy}9LN!a#LSSBF0fiuN&cCAR~DV&sFM(5iTLLUdINI`H!_jr1#M%XQLKIyVZ6 z5&nK%D#u1o9#mDv{bGd}mf-zyn79S4_O?Z3IRMvFmLu}4HuLWdZBkHE4rQ{ekhfIL zGP-)BnPU<_p5);Jm9oq!2eLWbtKCsUhatgCmNtA{D_Q6-34>`p(Y-Z|JPlF|R=FAJ zYd;L>EK4zdQ~tnUzIw65^NxVU=SYn!#)9a%+vyB@Ou4nKpAQke8`_FLhHsmzo|d(D z%=qI@wwdxOshZdr9NgAHzA|z65M`_Ml*%IJt`%C;YRD|@<@*~oEu1U^^@6O}hW;yf zp9ZcV&TkR4MocLEz5@Rp_KqgUuC1DaxSMMdKdu1_O@Qvo@^8IuN$rsC`F=l!zy}em zxZ4tPjnFK=S8+`>*MatvUn_6>$M>!6E;k)NW7p2j5}L4U+I`j`qyEzrSs=X_&pf+e zw#C@krEfATON}u;5sFt}S`RbzCKW!ZJn@Dg!wP$75ggM}Q_Af!7?vbm!5J{{^Cr$L zUG(L7ZZ~z=l8}ofrzbpv9D9orwt_x9&3xq%VzEyHJ$}FOdm!5WL!FFa%}!3du~2kq zbW-aGgL>fd!A9!v$BK}C$&Vv+LCX)DJ>tj88mO@6-@Z@%=7k?r!`*JXe}A44li5_y zJ)(W_6=7)b*9p6W4$ZXC$f=OWezT!gI%!WDKP+u6-mz{P3i@P5TGY<|X6fph8;tJ( zSDq^YVxrz{g;l!G1j;YSF`@)c4fT?xO}doU=dAGojvS?~e<7K1Q`<+$yh+JN?;}L_ zwUcIo&rUp;93;J{S1}f(q?U8E0Y8QQ2Jgl_Nj#l-Ax9&$iYwy)?rqR_Pt+vIA@*=D z0++@Y49~TiQ{N&@v*()<(d-Q~cv3ni%gPc?Dr>?(oIhIwLPFwZxnYjNSo4ihWbLxFk6(_Rxqf%YK%67nVBO zd*xJjw?)3rDfX|C0g3jt2F=rbl`av#LT<&k-Ki@l2U6vtysoY)O&(f%szzU5W*`Z! z$aPUnixeG*qvN*Kf71U#)b%3!yPRW7i%ByctT7wkJPt%7H>3KJELIwcZirN|W-soU zBDCyADchUgN`!PhdFhwqA>C9Ude>CdX)@wFyV-*?8gvG4AMzDT^UKF@TiyG_gJACT z+<6kzB|Tj)SrI7x#o6GV>T}P?wZkn;w8CD*uYr%XUVhidQF{dnqI(YU{;9=YA|^s% z_Iud_qZHL8xI>x)`(;7CBsvpfw7}Ea@F$GXDkw|?$vhP4;#^QSG2sSV&Ij?>ChMPNzJz3MPM&#^;G*}Qn(tKl> z_Ulilcm1`2GvYaW8`85%v~TtT-^a^-^E9nm3m-^UlM)c_Bsf;B`(Sv*svZGT==7}jl}9_q$5u=k}c z&+St#+D^_?u?Km9W8by6$t3c)(C#bopz0yME~04?r_7Ie<8WJb+L_e0 z;$DOAD7M_UXdcmLEvX9hKiadQjjSBHtJm>g$i^mN>RlZBVkPl3ciRdQeuT{(E$B1M zUSZZY^3ROx>f`FKrw52e_TM69uv^)!^CHQTx|`m&!*1_Q;!NP`*MVrr z>4urT`@ylzOr~XY(Iislnyou*pw|Gdhmh4+Haazbab8_oUTL`+)YwJ{)hWsr+_=H4 zXoLe77RZuW*Gx1e9)IK;d#%$uwp|dsO%fy1koOIn=yTt?>uMkzseZVMG#0=vh}KD* zQ6_~=6o!!s$uz*S-Fq&7z89Pa=phm7`9D>d4Yv{;5fohEdxZFxsFqS6Ick)vC>=8L&Dj7i~6Y3vqFNsdf9N= zST~W@gm=L&aG;2d_b3~RUkB-wR&uxZI&pqT&mAoKwul0eD*>xoy+MF&Xc=g24nf}n z0RJ)j*~RE41n8L%3q@BIbI4A1$8s|O7#3cBB9{C6a(8TGx*mj?+RCA%T5jb)@d#mv zr%Vf=VB{U!78bNXm>uktTk~_isW}p8WZ^gkkdT2%WoHrKil>J-eRNwAC@8t917S#T zTB)K)*Yz=!gY*Gth%EvHYE>-%$a@m#B@((ooTfJBiNP!!|J1;Xjwi4Ea-=&B+#i(^ z>zKQv>Gw84tp^0Juj4@phEi^Rv26;08J zh*b$>JvJUFFlSzp@6X-eA2#1F?2V0N4?F)-!DD^OG|qV?;@)c1s!e*{J@J6nV*iWz zt0JCz`L>nayzVEGP9Yj@#k%QGrLI0YsWWg@u_(|3^M>4(o;per?%_;|}ANGHA zJDdeu&6fjNxBXQHDmjv^KH`Q=uIclt-e|>2q}sSVVXVn`Vtl^+-T?jT_1RN2e}bVi zjSDe4q)PugO+K^xVtk)QPJFMue7>BbV9Nx zcIW!-*Lx*u=LO@U!+%BglQx%PGyP4(jm*7vW}XEkt9Km20)eO z9(n!sohGlLq(`#S{^UxD=Yh-Xz9IFe{KJ4&d-2U3xxJ{r(34as>Z}mk=(f-JX7=O$ zDxY#h#=9;ld08gkwn5l|j#w}^l8A|ET4r&q}XKOYJ40u28_kBqIvhnM~P9KM^5dJ5@F*sUVUQquLF=`dXDK2gO>@AJm zr;V%!>&JRtRI}Y@mMw6r(tI1$`q7c&p+#1qzKjsXC~bds;?V)!= zLl#lsiI`)#`}ixP;$z(feL-7XEbmhv0$phGu3`9yAW{6JxNe|`pLJailE{DFaB+h; zm7b|-^G$MLKmX?>zh^F0v~F3Ju7}0|ygwr|1t|wWV9@SUS51pd%ACDN?}oV z%QSemzp?0mmbskr=G;P6W;8g2 zpAfu(Ja8_X?c&`#> z^xh$iTYSA!Ba&AHh_=d`1d@zI1$rQFzjdSV7)4;3U3}BVNmQsZDtems`r*2RYhYaz zr{&dykvnyN+`;_3hOs0y_w{x$@2Tt8l zTKiphcHgC3wYAQ}isJ(=Ky*;c`{3sbQ{JZxEIR|r+}Uh|GyNHQ$3+^~P^bFeJ-?Yd z^Y!3oEI>}z%CSYI*5r0hSt|==M;<)^{-Hqey!v+<9}P_|AH(>$HsZ1 zr0ePt0=!va=mp@N(+{}9uVt=vp`5pAMt2WZh^k$c()A0J~#?Fi$+e5!jP&M%}9Eo>? zs|A~7y5f5x7QCMaJ$cf;6SH74UW>D1Ry6@uzFUyOi;m z-FyVIO+Wz=9-Roa+d57OH!+Z?{d>gF=6bGYz>mwzytjer{a*a1A`Q#bM6iS45ImWO zP*u6`w#pfggE~_iWR#7BdRECScW5QljV)!u>0fkHa{~DE2ss?;}(eJ z8qAu+E9qKyO^Bbbcu)_aYw$>M3<*gx_dJUWT)4(ESUFqfrD*4m%XW8u%dO4+X!AXY zJ$$y!t`%B7Ir^=r)h%k&X{+dEIrw3n9MRoA0j37Yu9xr^lVZ!gfu2RQ+fUZzNVG+c zk6_d0C92-cRsnNxl*Y2baYln^yTI^FOsoW`eeH|Kmm2jQ?NHvA>Y5hZrOdCx{49H# z*1!i*>o7Njda>~t%_OUo2+<=zn8}e}!NzI4;AAhLfZ2diL(^%D41&@}l(BpOA|cYI zFv=RjdMgI?QQ?O9A&CHZ`#n{daN~O_fLMOQ0R(7;zSe(p#L+APO0vySU}2I7SR3C# zimAfi5GEp_(|qxt%K&>Sxr&uuffG8DkPFuLsQ_$94G7Xw70@tg5a-^iyc6;-u(xFZLX( z$J)Z&?x+(3QW-7sddwWGg|QF_4V(>5_+h{R7=%1+tTkg~h?yun<}eVdu@zLzv9N=K z=x$;3yOe4sqK)_r9KSIGZyX{VW7yV3l#y$7*6#)X0V@z(xVz!8bb&J&C>VE7_O~&* z5>bwl%f%sSh0pn!$zKF=-6`>+FH7@&qO+}P&7Wnz30@J*&}gS-u$h%QwlZw*Q$O(N zq*dp`6T|Hlf{QR8e8BcriN7WKo&AX?Ymt8;F4_{3o_NZq7?Bl;9|IhPi%cjzVe0!5 z=lgz2QQ`&9Ic^p#?F~)jr^bt1cq};b%OcV~7{9!t)~Xk-j96p$Y<1V z5X!UMUdwmBF1AkY7xIlyA@8qTPyfJi0@Pbg#Uho>O&^Ag3>`ip(`cl{wB&aT2Ugy* zZSCz7u_!Iep=P`|z*l_Fx4k?|%4V!xRAkrTd|*=1tejHr8^wngzgPfxRo(T)dXys^ z+sk@>amkqgapUm2`WM>s`jlCrp_xc#O%Mhr(_Gd-C!|05Ri-sQthT0GyY}!3=SAgh zKHEi&{J&5hFh3b_`j~+_%emC6kuP_R-}Sye`R#|kXh5sdWTorZK%ld-&EWZT70jxv zcU_TJ|A>9RpAfp_$jROrs?JB7!iG$cbP{{I$$%@^A)7v?!~&PlWc(r0ZRDcP4b>Bn@N?E?Lg)r9GjkVP8?>mOePwpWfABNj7{gAhq6PBxl-?) z!#ry?6Ud77(1sn)l`;1N56ITYu4gc%w}Fu=RU0fc&ObFe?EPViD`T*Tg3$L#UjqCY zixk@xo*Gi{q!kY7#Xz=l+*!v7%k%mLS9!vzYWTtjmAVv+?r(&Q{)HSK9V7-y&nfZ6 zJov+BAac2(|KpvPSQ8m{i@}Cp5ZjAr=N1{(X+Q@uJ|^Pim_ub^iu=WR2`B&Myi-ge zLw~XDcIlz=3V6D|27SXyUxrQ!ud}Iq27uC^sXU8gdbjjXWgnD`7F)jqQJZ?r>;5Gz}NSmV3)w78$ zw=sORnZ3p^O!w96^HPT=n|#P#`B&`LNby#`K3bEUA0~Y|h&DTWV22ghuR~~G%Q-fi zaV`eA)wZO4#-shJEPOEE*+J$x5_^@+zVY2VZOAo4Ze;X{ipRrmqt--yKFyy&_IU1|-Dj626nQ9})iT%s- zFaY!VcH7!n{$}P}!nG1VwY}nPUa`EMTK|dyXYWp~pf2?tx)tQ4(bS6z7kG}h8Y5H< zGc}`v|CnWODwa-b2hW+?*WJ$(zMhaXI$}AVyrS4&YY6YI)$~7pIb8wHhZM_pKNNUx zO}qVirhk*`j*j8wib%mV>zB{*ur9A}lBuU{Fge!OML%nYX5L^lQF-%b{ejWyAKm^6 z_)#ZQOywgZy7i*n@BMNw`FvlN=l#Pk9^Gc?MULG2iD2yxB>I1#3mW69-4*e zF1P&B-RA2k2G#4iBfGy+?p!h4EB%Aq=IefmFp++kEWCouj)box7#$5*nTU4u^O!IW zx)mwt$BYK8ad5t*SvSQkf7nIPLgw|KSAQWOglgKzY}PLbSUhiC{RBukA9aD~;;e5} zTq%5EOx9#6{Ak#D8vLFG#Z1(Xrz^fV-8w=sw5Wb@vwo`$(N)@w+NY+PLrC6@yG&}vRm{#!b~T|dxe|S>R5fU3x(r@oKvI)%7EebeW^iq-}xZSE3T2?vuyLmn7k;1LPM&-N-(Gx z7uYY2{loNpNaW6d=d(lvrjkBj&oDY?Yb0erU3xkeOT0q+*bQ=HyCTm4V zGAo0KBdeZ->SZ|b-z(t1cs7_#P#{BA@lOWJ?gv{+5oV&qap2Gpe~klk0ac=b!8!rz zaT*2{8LuPBKqd_|GFUroW`$k!h?ZE?>Y)xa3NKN;;@1g>{0@Za@PQSXJw#d0SwQa0 z#t#L0UKOInSv@_CqF2~8*qklCBDa}?^rwJ7%@E1Ke_SV(BIbnPQcz4-Yu!1yBN9kY zD5%I%oZ6(QJ#HYY`Q}j4y$MjfhERVphoT|c#tGw&qV<#8cM6En)FBOqxVKJ%i6d3N zO9$apJ;tKbrKkssuEQQUZY*okYV*$)L;RF|(A=8MK9Nub+?GPzhIP#D+Q3Qz6b~A? zF{btGUeCh{oMh)!_EzWPFpYJR&qNw|@)s+sFuNS`*}1KpF78cP*5A9k2)Z| zvi360$C(x$T(Qw9%a^iiz+@p+R@xc*&5>m?h|PXa_qjcK=c&S7%XLd(AwB~a97`_d zN#FPFiRG^TM@lyzT^k>D-)mw}gZ*a4%xdi9GaM7lX3?+E;y)NO+0By{G8e}fQXRik zoOyT~y<@v$qS}PMpLgZlP=07df(5ww)6Yr^tzDT?3TK5a$kR1HzxTGXvbKA(nZf0x zxW<}|r!2zdeUEe@;&FqO)1R|33b)0ZMAoI(($OccO8*WzzF3>dsC#vPGmS3<%mJLx zyqzojDu#G&0Y6i8Ynz?#u%M$)`b2uxIVFT~a)kpc=oMFUR{H@5r?LRVTz zygK)DtzlXMD7cLVwrGU*2~|)AV$#EYJcD_ap+9Y$93t<2`)l#T$GThEkZ0hTm_s3O z>iljSZHv286q7nuu~&a_MlA2+pIGm|P-e%6U;!<9EtZZp$#no()stcXyaE@`EN$pk zRH*5qRXOXL>E%pTSQR|rJH=dF2HNdMe3+u|sd|vdn+VH>O)>RlpRkK@6}uvc6}vII z?MOBMcvQ23^inCCXdLm9H@z?An|h9b@m`Km#E-4K1hY4h zo+%FWKI#{1wga+6?m*gC@k#{X!;dxSHj~?AZH&uY+aNL6*hcoiIv$4uTYreK zrPpsq#Wg@suj=^h#S>E}_&TLSzA&4rq?jDqm?PJsz-ibHC+pEg`0h0^=gc$2MgG!A z4z4rZBMq6Joc~@%`!T-1qA68(9e}V{r_waYU@n~> zrJLz>h10Jr>a(Ywg79x7Z*C6FyK>yfpn|{MpYdl@0!&}b%@ut!SBWeMgC)0fFLE2A zw2QCYrG4{ZK((;sVQY8INK4VbgWyQUH$g|B_cax}c4kFo4i&|$@)m#>(D8ezfO4|q z7v?+;z7FgqvkY=+b>}S_J+i}|PTC{UbvxRMFcDZy#2hye?nPi46MUau?XE|3bS3IV{Afs}~aS z4lgAISi9{x`K_IhX?-wJyL~IUDzD&;`f6sn%%ph+rNKV-%%zfaHTIy<%OfdM zz`j3)*VxKsurbVg!!~oUF?4d{=D`9uaNor52JT33=~WqAu#`m@tVvC$0ODKHTGO}6>jd{1Z&U@wBQ^Dhjl6= z4dKV>a#w;M&#>{n-8b z+G?TBSHB_;CW10<+-b8;Y1=?C_8HVUoZIWqC`Q%j0nM*Zx9R7nhUI^}sD_Lv)2u;(E%2 zBtz5r$1%J1jrB>_EPSl%IQWc96}ggn!y0+R*|MBU=Dkx`Mm5n}b_%UMbkdrRayqFl z(oa^69C{9D(RJ5;HGg%JJ|PVBCTGF2`YY%^#SvP=SN5p-r)^__%}XpMu3jm8EnAH< z{dfPZOdnhpqCi5SrT_5HMoITlW9;D{1UM6me$^rFJeJTP$kAyKcFJdj3jRX+CPmA* zgC$e4@j`^q^Zm*+cl+bRXO~8MK;Ity%diKHq8%S%r-DRNWTq{r>%PA19^23H;)!}N zIDFyLemLWhQLwu<7-9yFu&otfr~xd2IP>7M`US?$xlx9KhE($i&0=Gg0#9csLt8yJ z3k354m}bp@{)M)>Fl+)Z5`q_rzbw3fBJwzL@UwElz=9^75jao&@lLP&-}C&x&-d$C zAh!T67&B{zHme~FZ7!dx+@H7#Qt02&b*vC?@9AcEF}x1C!2LbiQBe=_CHRx&_0YWQ zP|a&#gCiV2yEL`|GSUay7ZD;Fv+!UPy`NNV4_Z?n)p`|a?#7|Npbl%6WpG#{&}`=7 z6PH_3F#*D`T9z*7TVs5hmiwfUg!FsZ(?7Pd>-lC`>*_gmdLb%gSKUPs-3{=y#}r>x zKExP!?|OoNzqN=~SsqDHjPzp7#Zt+26?4o|G~yi*!mt33kr>;7N+HV_bIG{OFMtX2 zbCQRmtFO^R6z0b|!kBq5da_a;F|9aetHh@YOK&H^rB!I&4dnV`J|%MloHSKt8gGRR z-9|RMq9llmhu>x5OXA!5>xYjqy~cy4=i zPXw$l?EXT!f~UU9?a3m-_W+oUXSe6@-1gVGInT~Z6Njp_8vZv(xrp1F>kC#le&2av zf8oXXjGyQ6Iry2_PiTk7TBk<#yY*-pk-Uiw_7dtMfTMGL0?Y1_Qa3^Q3yqXyvn3b) zIL_L6awl95V2n>VIQH20n;eR6cENw`D6zabCT`(>y(j&C3?HGC>HE^VvZ~k>44^w~ z(^>Cb=-Tw^t*=RsJiULJ90*QmACJt$y%m?p)xAd%x>XzT8p!2Dumu>f^>nlTwKG67 zxpS=d0h!li1ijx8Gv@?r(K&Q%8^ai~DBnXhU%3%W$J=*Fz4ls6DwYk+`qaaK&7JG_ z-Y*TVI_Ju)>Oa30>w^^CBqM#AA&t;A8~4G~YpBTut*a>lwkLN!&`1CJDzZ1iS52Tx zrxF!;ZAu+0s{J8FpITTbhrkl}dk}mV{k1PV8OPqpi#~A(qV{ zTm;A~G|m<>!~1zG`N!MM$?p{TY|Y}hPl_I7EuuK}xr66LKRXl~JwHsc7hH4bkBy+m z1URcwmXPLHUVx?)X(t?~yS&aiHOtr|%`VHQnNSVy#`0{%@HpR^g_DikGf0BLf*s2b#T$1U8eaUG)oQgPSj0N`9vKH&!O65cx3I0uaheqT6$!WkilKnMo8c+e8=r z9VeNK3Qq@_yfy0|EB_7%ct3Dywf*?)dD9*l?{{09rH}khX~F+OC;mdrq-v#&nGw-# zzsn!@qRvbARo!`Y@H=Qt_lYE{rJs2p`YAzU*N$iWxLfK3q0FV$jA} z9u_c$)jYz~q8}^1LdY-~fk9$84D|)yiv^S}0&Q7CiA-yi|KeiBG*W7LrlWqnQ#{Bp z_>O<2U(oZbog>*ne(AY^U37bSZn_gY$Y+qvya&e;UUvzPOfo170ymb*y0?L0_@&$N zy5=DRG2$4_(Qi2?_TmorErJGP_C<}Voa!eBuI<~o*6uTMxK1!JXsJ|{H)kEbpnf94Ie1G<fsBcJ$DnULG?5y#CB1qx#eQiV~wdkSbFB?KLKI`igdX_4!+L4?M_xe8H<+QJfy8?ekGj&_)iVBwLvDDT{sNV_(nq${46eG^v zXj@~6JN0tk-p8u6>vOjflb-IfS+py?iQQIXwjWOvuqU9}Kq)zNDu+z9AzQFz8fzX@^04@a*TuJ92w&Bt zcVnJc{E*m^#}o4wVQ#-sLaRvga4|-)G)(vl^$v@}Wy+Vp02-U25nY8-mQx!FZ-e9` zoVS{JZ!5ej+ngY_zfHU;Oz*Hk68^P?eStlMed$T5P}0NzPg&k1R8O(_wpT|KX+l=u`LNgwM@ z&ZH<)|3ObUKNSyced5Vl>wuT+PlT^`si@d4L|3rcw0?9)&5O%-ueP!ix4O)!9VwXU z!;Gh40pERay04hWdjo0TYhAX`?rkt>e%5}-!DMUud$^u+B3!5&dtfT9seL@>+x$}V zq->G|{vgWk4rT0=5qbG`*-mA4~7 z!GrX*)RNp>L)43xIfnX|5xP>aYpK3@!TB`TG-!l#ar&rsn#)q0zQ8H1X$}DhErEvE zDFaqT|KIE}tG+7#|IqbB{vTWC9n@6&Z~IV#C`FA5NK;UnbWmDIQ0cu(6#=CwNbiIq zmI#SRM@lq6=!*1?g{rjBq$53q-fQ0byuW+SnLBgtUrc70Ng#Va`&-uftZ+sa832L* zH|4YsdP&cF;Q4sXNYoS1~A2d zD9|vO&7p|5!^=XN3-P(3BtTAxZO$fPT@{0Rov9cWB0W{$$41YCdZ`T*-U+U%kTT05 z9Ln{*@}#@_Fb0))wZNHop3OM`FzXagCPoa8#snFFcZX%@3Bser^%|g-^qiM-I+)0s z>tyX1hoKkL5nH`*LAWzC(%Ft}Avsr{01NVt>D6PFUx+x10g5|be5E4sv*A%Mbrg9l z?P}a^4j-oxRdtX7?+zaT2pteliR!K@?fWe>FpPXQJ8dvAzIlf_E`?Wogv#Rw`^SjC zw(x2z?4@Pqs}w7VlSadn!YlzOx~kyUUaVRm_QrVWi5{xh=+QXQ>YR^P(fe|(KwH8W za7qju*meuQ{E$^z_zwgY^IN|}*K%%C=M3XNkjnD;*bf*4wJ>$(L-&mbzC1%8(jcWW zx;*=hiB}F!Ttl+T<}wd>l3Y1wiy_{%MQ1(yLMmJJ!d@1Qy2hN>d7G?13uK<==Apy- zhXc;CPy{JmShQ8}(5qE%Zb zinp@uetBX$P)ECOXU$cLCce5)eC0i^b)t<4qcx&QjUPTPpFoK7jB-)@9I6(kS&%~R zdc5zBoKA!)9WM7`_q>r;_T$GXKJLn3A)^|xEZ7X@+c)=C54m?HPhr6`pY$9P2os0; zUmS*yj4?n36fYumXD#V=jQgQ?6owg4zTDX6JjRN zje&_h#QX98FKd9v85hj(NA^m;_7fGGC>YvfRszEL8ns7m>kx z!*;Sl>fAYhSr{mma}5DL_LZ=(>T%S-wjqH&8)V;6{pt-`$V8m_{6*vUc zi^6AwerwEj{0_JXH#~Q{gpS*qTwPCRgnpz!6v4lba&5(#CnVTD;$$1iuCV^9yvyZG z!wdc?A8XaErrD7uR=3C@3dhvl;+yGx%V&DpB{}gM4p9f^ZSg}H=_v6WNXTwMK+slS zc-@!kG7rs1k9$te(uP$e-b`IVNj}u^h*MBBF}eq9BJ)O{`l}HTDTL?BP6}3j#+s)I zs9Kcpk(#c!ZhUh8Q|u5O=*#q1$W;42WbqTI{>G>25E66DTG;&wt6Gl;-{5+-a#Ay8 zQ#We+DdWCIoZZ9m);OwBnX@O+B97^$J?uCZseWa4{clZm=qa9WtlJN_xa6-#CCQ?y0U=sZNV3iobDi!}eco%oG|H$N6&ZBuD-C^+$ z#F?5!Jl(jysdwO`+EbMH8-E?LV0lKhb1^>L)q3#WsRoJ@?tv>dtZNSN^o_&r#h(B%)!p_mt`S?2!t?Xz~; z-^oHW`836WsY4C}4zF$hK8f`^?38=726wfj%2*ab?Z#vGzMaz9vaZ|oe*N9FvU^fc z?zdKTqr;#?`7xIYEIc*LICEz~US6hkZn1*DtMravF8z}nF)OFVldd3CxD58o607$0 znPBXcawv<+p?QXLkQ5nx) zdsG<_W3=COnI>t2CtCj%E_3;?Z})2SnF{#_@g-Dix<0|Kw#9lTQ(LPasYG9JKRrZv z20D;51Mg%>x<>>UE(y2%5-n~T!5=RsD`yU>Pv+6Kz}Abw#vvj1eK<#e%#)Y77m>`O zI-)u*!qTD;9or9$g-U;Ni$-u~(Ifd(1$l@0y$j(y;Jc;L*8E@dn}7TIg4*b1iG6xZ zJ30KJf^0NVS$`?z-jOUp^k)zgJl*fSq=I{S+djMAy)qPYgf>zq#@*9Ug1G!SM6$Ke z5a?6uJa<~8G?}4VZrBf%p)OMTaMIzp92v<#h@%7+TDpJqB#h^D6(+p%9FAIMSQE|^ ze3-nkkf)9!OjweW7PRfI)v2UD>o}eyFAHNnI&$f$%l@()?{a&de7W1mRNl;Tbw!+a z_*s2>v-TW&(v77b$3ISl*xv=1W%Jbf|#9Jk#jCqb3tD&jqOzw+{@l ziDknT;tky8kf?Wbf*_zqk>xwK7@{c0>5pS%0joBeKRf@zw1idx^hZdY7M1Bt!UA;* zpfO4>x%g#9eZkAmBp)=U8%Q!swp^PA?Bbzhn$Lf=oG-oH%ahf-Fr`(Oq+k6-L;vIV zw9>R6o2NY^Av}4vSFWhAg4ROb(})ARJW4 z`sguTpL{%D;I5f_Rs=7)@&^<~B~rC!&s~Qlqhnvm@=tB`j6lh04%~t?Nll7tVA2?r zt&+$SeNSlO`RcN+Wrg)u79&BLKlNwX-hRIwz$W&4al37&M7-rfeQm}11ZwK3*+W$W z$z5{VPU!7x^vRDoa+|;8UU8S_6WEoVPyQPCRp#whD*yYtjW0^G>2)_nJOqyKhgCef z=xn;fmM5;Z@Di~ucPjjcy#q%}(oIGsEN2BD1h&cV?#d`$4_$95xak*g(d!x0^^Ng# znoPhPz46vACeXnib9?98`ayqz5ZkQ+e>?3&k#!8qe2a4UP5oV#^@x)$g8_`FeuEA4 z^y>1#DfD2-FAvq%)&Nm?1_b&|0$u5AW|Wj-k8`bGT|IBN9#VNciuhJk9Z+F(`qDJ{ zKq|DRc#2W{NIPSKHTvYR3*rMd?R1R70YL-2-o`CM;WDCbMq>?+M~8Bnj8$%?L(vT$ z`_WEgKC*acDQO_A-Rto-GfxjTb#6+upjnI1ID^lBH9@;C%h0-FQrPRhie%vlCL0+u z9EKjDpMymAerlEBta=6GSBNZ`=0NW9ofQyE=>J?@3)p*&kz|$C^)85*{A|-Uj$06H z1u)?fy8s3a3)<|~Cng_+y+Sj4sgNl$=;u^02-mkbkg{rY?u0pJiW-Qe{* zsp6Nggp(j|ox4vLBGWm-gq*3b*W6VcxWd36o>eS{(y4Dnmp*%TIS>Y-MC9t z9Q$O-&4UKr{>bt_x(3kwK!BN0kcK*dzt_nv#iTJqhg^U6T2lS zoyPi~l1kf-LEUeOfrDM^olc@3*T4KvgSztAnr>a*^C@LqZT$VgixnXG_dnzYYGdn} zPfZP#fIwMTLu9+SuE@zksM#xlJ~;RY?YbOmzfbGA(6yDf&nSP=j$>Z4D7E0IdR;yt ziz2S`#b654tLCKKepRrr2D~zFuWgXHKeg43co&vVdGfWTh!GXg#pl0RtlPX+!ShB^ z%v|eh(%;&^_a}vi%&0_f|H&JhH`dP7-(Cvh=c%JuQz|lJzdYh&%nY}q_~5SGtDZY` zacyqOJ*a!oFJRJ`y0+SJNW2%1eX{#mwclf=F7`&mzB=#t)zNx1lHLJTJ-Y>T&N~R2 z@1&1N3$3|1Ct`FM&QBtfs?adgHRDTXgjx7Z-kE4QV^ttGnL(d5)&^`uqkjWTm;dd( z|L^Pt6ZoFN(t`PtnkJ56eJzPdz1pE9jL9Ar$g|Ou$&OEk>*IDViRA>}__?5Vs0k2c zeHM#6lReu-1hLoIFWTmT9tHSH9XVi}+uJ7FLv4JV;n$54VV3cy^W0O&o4e3%Ih#6F$e#hB}nLO`5nXmW=<~lERSwQ z5EsW9@(wR{94yrfaoY5T+rO{#OsKYz4aUc;1g2c{hwcgwobi%+sFKdie>YS_d98I} zU_qlBu7168?#-1`lWl>%D#nt1f7WyIRxcaahJsLyn}PR!S8o0XlqkjdWe|vpwe`Mk?=Oi=VnWZ&f;3pvj0emO^Tt}gJ*iS$UAPK52mS9AdPnCSuvPX&4(|9I z%X?9V%YF-I>RUKzG%v1GMQc-!rj?#A$nz2o=$6l>Ymc>v8I$@0miMGLR4g+L^#eD=G>Dmk%23j_5@HAG7p-PAqV0 z31+W@J$5c;fCE$;5d3Ju&P<$3s37a)4!2wm%}_k-oFXxIvgHb5(~eY}~rcq*V<3BBeJs6T_GB&2YAxtgxPB-7HACT|67rnA7O-Fc}yka)on0Nk0~BGmI^0 z(B$I*$q5W4yoeEs*_rDS5ZfAvPyRbO)eDcacmjfE)8ZHYDE&Soxef7-BHa(sC|nbS z)UYLUqoE|zwX08cLScg+GM!MxhE2~Zzh>DB(xh|NDDUD4H;=262b+uLj;CUu1-SE+ zyCoU2GM2Y9C`U&_g3StfEBog&oksQom=|`g1yOwGysijcKwZEcPd04Z#%*5O3$Wi( z-foX$38|(;*3T?aPMa8!PEB2?r-<6Krj3JU*XoSoPhYof7v2S1ahpji6l2rxx;g+h zBU+yxTsi*zdnyv#z@!zW=B9~pwr9IA5D)VCP16f{o2k_c5S|>!?b&%GFv0vfY^!O7 z?q{JH3ctbsHsDph!%3mE+0*OTp#FE^r7WrwM`@jde=bD*0oe1a z_?VlMChlg7o4X~izQ;8sOaBcLv#Kd#Fz)_(^%$b&ma|ciSDA`>{dK;fv>C^Q%@L(W z--G$)&n^qok&Ow4NRG>c`5qnIyUDI{M?)D^OvqSmR72Hh`LsXh{f29E91sHu__mgU_n%%N zeI@1{$YeDlTD$^EOa|sQ+{v~UvkTPK+JO+p1QG0MZ%mbW8(B?YsfXvwL&ENcbDlY# zh?T+Yh)>YeWPjsNgGiD_-)+g|@Wo(r1U&u)sJB3XD!(n9<*$a?5D==J8%u0^?%X^b zl!kIu+u?GimbJbBEf!)|3hJ+Q;0h)(OCqD>fb>lZdN+9C9dqEimSR4brC>nfBPWZ) zP`vy?a#N)s)kFYvlR(u*(&3WdI}t_(`{ruk2{IkCQ|w%!LO=M0cFB62J|yJty1W;? ziEvf#WP_CK>$PKfCzX>5cPu*wMQL(($dbkCuyCNt z2+eL$%5tvscJl=RhZmcUe|#+XzPGOrab=YjaQQf|Kj3?*Y%;1xAPo{ZbaXa>3HGrK z=UUEo{!~PntWjR=A?dI+k7&DUM@ct%(z?a7du7t;SXuy#6$=9KB#TEC_sJeG{OKFX zlNOC+b9^ztdQ7=dWWsxa>^Q%gXR;v6r2&2`BdnwxML=wP<2hctY8#bpBOA5GsZxp|@bN8_v=05J`UYjyU3bEg@Uvf+?}sc#w&mx(pISH82OIwX z>MsA4HuC)nyJ4+c7?1`Rxg}aO%iO&0FhF@gT|<7Y+w_aU+^yu zGH(SfEHPW*SL+e3{b*P5BW1wQGwa|yC9>8=@ki~GOmDX*5lu}3-BYOr$iTITzl9UF z^ZZEy=ng%b3D3HbP&eYLC|~3yjO=Kh1f(!hER|4X=SQ$(Fc1U@J;HnW5P&?+@?02QT&(@(jxo13X$K$oJaci(g+lcNp02xs3Q8uCV(dEtN<1!?_wblg*2T zcbD@%0sDOq*+0_doG{AsB2Ye!DJK$&Y(mopfXH^JV+*$rCnsk#K4OzUGjLSpZ7kp@ zb5E$FO9rE)r^8HLB{}b%S1W)=n+x5M6fO7ieBH#Zhf=XLbaBP+6HE70%1wXw znc?jnf1)SZ=&!}lkOwHf`qqQ1GH!g{p*IJY(8}9cNMRebJuc-|x4NV;nDB$+%;w6`SPUhnL(G8qI!}q&h2#i~X2r`f;h} zm)p5E>2Yg4Aaf9<$cB*)N3S9}3w=J@%dx2jw{ezU@VHy=*W-S?>Q0C{9WE9TXLY^& zly2mTC-buMbL9*sLx*@ITV5t(db#E0QYJ1P^SJgV=L$R1n#WvhL8q<_{jrm-p~LJa zZWo4DS95H}TZ6FrLBrktDBqWV4~E~o#*wssuSToN`skj^U_<$3D!3naj;xzrd+KS% z$2oiO=E%21+D9`Z17W#ZBJ<$`mY#Im&(GF}Sf}-n^Jb|2(}CN{Ttt}z`?_I|3)78C zbpaTap8ohF#{SKrChwdgg|L)g)a8#x66_*+sYt|>ZgtyUv2wNhHA!bu`{iOzI)ft- z#ZL(OX>k+t@9N)6m-*iE2IT8_t>y>OrQwRh#(&`|PbPB4D=!;aUWR9JV%rXfud%l( zSGco}a6OJ$^-)aN5mwi7`J+RU)waeLeir#w5_aKHBHlei)zAMzNe)3J|9W^CVI4_f zhn^Ej8hqAydvg$F*+aTak&T~ zadb-zGO4>c+&iJptS@@y@a#8}fz%n|pPkcIaf60AH!98A^Qf=m#FHctqY}&A)+jiP{L3={J2;DMku|D;i%nng@ZuDyH>rYv6uy-0K~;ihR-iJk)vhXd!AC9?Oj%4QFPeU0fDyBWpo$ zw5wUfi2g&v$pn8KbyO7ENWsWQ9!FIh3O|q0bMbBw_^XZ8LUNH*x$^b_Y$u0%AOR0YG14nm^JIuAZ~!*6 zf-&pzChE=pll7K;Mk^8bw+u9Y94XnuWnh^wLl*#jQ=&XPbM+82KcN&GH4xH<);UK> zwC&q-y$BFiN#FdWedM~;P#h^)#WFj&Qs4OG+Gk>-x?M|Htar1&aV=5XH#~Rgh1@jy z2lBA9(0duBVDr--cgA|Irl`wiZeD>ajzK zp>n)gnJb1vq+_RADsLd7-}2-#LHwpJEc^peEq#R`m;5-tQqXodQ-AaXAU()s2k(w6 zxTKVJFfRJT^VHRZaY>g@(!O_tAz=$8_ zCQhen8yEAB`oBy~%A{NmXI&JC*KMm1>L~`JL#VY^bC58WSh{=fxP^PLIAa8{nB(9o zaEBKVf9e=o(AYgsn>#NrUhc0d%sT-h1lyXf>MGT}O9KaLm0% zhfTLde=sZA3^^;BY_+ysSG{yZgf@6qpzHozEWi<_NFM&HATvFdSN`q8f z3E3|cd|prCc@xR{_eo^g(}v21%DodMbwt z!u84$LbZfqlpIYi#reV@4f;IMf=h^7{XyP(t5_8zWN-Fl7oXGvr-Ljm?6TqP-ox`C z4&&#H_Nk-WAYlF}0N@HJX84j!b+`AoYyc&J@Ue8pI1+bSgAAvj1WU+0(&$T4n%o#R z2%blk?d7SZF2^MaX#0CyOb`J*7s39p^Q^>HtTqH?0K~wl)(q&D38-KB^nE zk6+@8dXV<&g^XK??ZhYLQu&AdaaNL@pZBl&AH#v2<+i>hq`ALdB-`a{VA7Cq7pU@X zD30xdXJ_v9_U2YP3%)4bvq)A3&tdfQSasKU0B608)uKK&NddiSxM}e5FdGx{@Ic_M=vz?PD+R?jfd~y;$y9 zHPZLl9vw_H9i>>kT(Rm6RUG&NrylkX8i-OdeQ=Gh!6sJHEc}atp>9EKL)%D^&A{KD z&L(3dTUyRkR-g=N|Ir~ISK0WGeSWJVrb6}`M zOw{}s@%%#-x#)*)?r2cn^oHs>2Y74V%C(>$qzE8>tiYES$_g!A7)lGSyjMwxRz5z? zQdx7;_}QAhVvJR1J)PyW?UX`EYYpvfGV?j@hq+NNFIo(2J+3*1sZ%Vz?Z4i4=-Dc& z+Bgy2UlW@;&CMv&eK40Km2OkwCmz)7xy7=Ep;Hsr_LXhpy1vHzRMss8yZ_ij62+%c zTQLzuH#q%Zu=Z&D5%0jy-Oz+nc5j*P(MZ(u>guq>>KSbd$w8mCBF8T_o8^#u=$)rpMRGB1JNUX zIPj}=n&KUD&)FLL-k9^@knxD~t4PvfD%#`zFKz6!b& z+Xz3rePd$(T>?U{B1uFWsk<&-N=aN?IIox3U8GpPV)^LJRm|?=E6?+?za=(SD2UO8 zjF2vjc3!K&_)lDK;kB0@ps~!nHRv$p`?4mQ|2()t{UE4V$LOO_q2Sw-<*;{|3FT3f z>Cg%YBNcnTy}h2Wm?tq&LUFnmYBOE;S-zw^-)cT1r2Q2h)09FnNz10iQ_EYUoBP)? zJGZXpHSXwLB`+g{S7oc?vZYizwLT7Li4Xookh!kBT5BdLCuI1Ql|%zUoemp2Smg$JL7yw~K z6V%JQ zJxAh;I_Ji)Gyx9t>V2ZabLae$I4QmvJU>34>wq4qrS$%)J}Dh<>XdGihZlZrxSGR9 zp++zZ(*vV8=yrC^?#Re}z`o?>@YuEALu4N}r4)P(x!K2lM-_#-($$*)EV<@ZpUGxH zbP@GUF0{z#pKdj8;Nf}Y6Ja7_0c+-Whwon~ne(e+^IWE1>92k;>v#Zn4SZ`^&9h6S zL$ssw#lIa#Pzo)p5bI-KC^~L~yzdp?npVHi$a|2l>F}rXN8a^}`BxNS&Z5goeh*)y zf$3XbV?S8JIbSwDin8^cfphGMbUdvrDt4c@h})9xM;}$n@u6=A>IEnTn|ELN`XN?r z`QDIb3WZ(Zs5kc}#!Y*pq%7d`p5m1n$8Wya_cbLwe+jQ-wV47-Dy|9@^PvT(a;zV} zZl3+!8)%Y|bKE^>aoHRq)QAJOWP{TBDJ#ZT?T6$BaXb2hXe$s};vY z^+x|bswU2<$3@13eCzoXH`HM1Fif^=q>mQZymjjz$ktDptk=d-M?{)d{@&dO>gzIA zInTzd=H;Oz^qZYJ@JCr>+hX_X%O0wZ*NFmz_q95*~jt0Chbu5Ie8F3%C4YC{<`~3jVI_T+^+mg`g zbvWOfriSAj1hGJq@Sy3W*6K24Gg^HIp8`*vZWYv@NY(G1`6AX7?4_~dx!w|t!uXIi ze148SV=QaG;)UaBH>b%92pg!V9lc!n>}^Bx!lYH^!izNldQ9NddZp99kuY_8R}l}UKVurqH&XU4NVE;puoqh>yQ@Py(f&7qKpRXbm@U(;b=~8!EQNGI zoGoL}eNhF2XFZ#X8KC+(60$3L1}wI&C)Rcp*(`^BRcF;M-dp#nV;t5|u@Cguj=T+8 zslIU^=7-OL6+DA5)l9B+Jjgp0e>!ba5cULj=3=)I}NO^z$X3?a$3Sj-s_F_os$534!c4+2^-q`G@lu~5fTmIk!y zEH8-b)*jCKK>`?1v^?KVwyhdGxNrYUFe9yylA{mLtO|fz)U$>zLHRS&dnF|!)TEc%Q^PYtMjyVzR9b%;)A2#X;mW6Awfxa0vb^9OMDJ5sHy+bJ3?!h;Reb0CViBcmOX zM$j~XaYmsbCcH7s0}V`fdjK99DbwHMOSMdHGj9x!oC4Y{UnpuN9hgPEl9bB$IcF#(pVrb#$in zNl51rq!Q(1M(zH4#`M1w4fJTH{|ulQgxzG{tl=?#D}Q!v^Qai~f}Di*ubPj23FECc z8E>i}i%gkpLFasP^?8$~Ix0RazInO7eB;p=JYZEHWA^5U+StQfRnfY;Rid8!A`5nT zLTv&yfb>Cc`>FK7gunF{dNaz*?%B2=pVBWT+^)B}rCy&74;&d1#>$Pf{6)V?#RqA8 z3-uGKgE`1|oeq?p)8>3}zRSb`GdT7z;H+WVNnb+c}yU;%WnAo#{sO^W)mFMwW-_OM$5`AP_Cv_LbZFRGn1QME50FosKjK53; zg5BYVxRACqoe)6JlrQn>ZF-p8KUwUO^f@?~sXnAW26E}aHr93NgbEUGp6MmM=jQi3 zw4)jKWT-0eGB!7km1$lu_97)nemboFR3x$d>$~K-CI``<_3ev?EJ#H#gQ2wOSD>@^yuwCfWDS!GZNK!4MGOC|H&l4XzKASQADr7jc|ja2Ar-Tv<9vBT{~mfD znDcjw`@GK8|0^fZU$uRSv@BmDVzIH4Ci{Ch?Ww48hO%YAl?7)OKbri9h6CpL;Xj3q z=97NUe^$@1arY9{x#T``X(A=d=UL~MHQ{_Nx2d4?GqIo0_bgyHe9|se#pN7sF+2VW z<0@3VPnJpeM(tE-Y2_>7h-+E^VN4Foo!z zX>aj6dfN1KW1{e4QbZcfffwSQwGtGXSuqqKdoqDAs9dYN$&)8DH1kBcf+tzR^UpvZ zS9=j$_?e$*Uui*YuzQuhyKuHj*fp~mPR3%^!sqCBx9$rM*yCP%nmLe=Z&*$88Hq}%_a}YOx1>i96Q1jG<+VT*m5h#o0}Ukb>A}JQ(y&Do#t2cgk062~ zF(RC#$~^#r^L9Ta^aB!)Dl7p~{=x|DLLYvPh*nwE5@e<7$mU#x$11!JXS~;IG^^Ar zzYu=0LR6qZ+!dmL_9&JkqL=}@%qTNz=zYXXXT0a9Z~^E-kCtte@=nH*!;2fb8-O^k-BXOU;MTWDSiRIs&(K%&xYcZ{5PxfjA=o*hB=Z_o1E|E!kaA|Uj#JRyjtE(>)-IJzoQ8A2E34{e8qD@=ef7U&_ z7TZ(@ibN?tRdr)!ZN>cTsl69d1BE3B9S;33Q_QhvQD4E$&A8R{^3jRvCe(Uh5q0~X z%qKc0Ir7+;bII_}qZ4kny2`2{nZsE$S=sdWL#Yc2kqR-sZJrhnzCOHg@Q`vr#I&k0 z?(c)_3qsMYUi6Bm&gH$k!E#1tL;dDIzNU-Oz94DJNfj3}Qya_eQ`2u>{ZchaNNvt@ zBG|BSGB-7Qa_ZN!p z&7RoI`rFB!h!}a)tA8vJXg2Fp{z{Z_)sej1F<0Ul31{*vOV31HBwMj3v5v;Q4H@*7#qu*j(OLI33{U6Hh~Y{`7=lz)(UZ0i_rG$50qbcnIIHj4>&y43d|!}ZLN zMu-Q0f&A9mvj{Vq(uKOzb$g88!?HCJ2BH-1CT_iX=_NMDX8F!ub>zeyWeEQfmFl0L z!zD$T8lys@BQeO%zAxWA+}(J(UzG_gT3SB&ob8(Q+$J|wDtn3R-dN1^DU)?{xkdJa zw005cXWWl#TJ>oJZpNy6cPaDg9>4YBO0ObWxAb-szW6*8McueG5~5A?$#@%@`?0M4 z!l78f8BM63iX5$9i)R_W&E;8g>>B^gzXZp_DLyKFgg<9ENLDma8+r7K?~k#oq8H`i zy23w@I`sRZX27Zyin&<);8laUUWGmP4i&+TSblA8=vnDB;3$x@TgZZ{w(qh=%h}C4O{gq?&1lDGdZ@4HMz7= zQ3uAuTyXhZFD6AAF!uh@5BrA>$ggc)BV#*IL42Q20XrA=vRFb=pUb(BI2P4w>i^=iEAHB- znEwL*{Eyrgy#DV3mEJ{28l;#1TgXOcqo2e&>WJ_cRt&zp$B02gw4Lo;@E7Oqrd~6z z>J9F9obvWu)ZeN-$!kaq71nx0MmF#MtBAr+qDynt&(*&0w0=R>HZWcVz6ZufhCfqcEL}+#5`dCir<0HBdUkYp0;(Uv`Ze3=?@@qW)k?u$n z<20~W6nkF#xcCI#7GiF=v3=INp!I4}87->W+Uamu>`us(h#1u^76+0QH)Erf`1vvR z2e*u0MvQ$qCxO^pF~0jGUf{$GiaIH;h{1k)4f2oX+0K$J4zOQR^Oa&EG9hCq(EQoz|u^)<%AeaBaWjlq)ADzlj6w&0}`+fQs_I0vmWlb2+uCE3V3g%^JU#I?u+0h~wT@K17f;q5nCdU(sc&1`jNB#E zX`sHp7rp!)JQKLvr9oroO15 zUx82a46a2hlw@=TRU6%y_(Mp`vaCST@IEiiiAjZ@C;}zj1-sX;H29+b>DbyN{gK3{ z?=>IBi1C}n>^ok8FI7F)+m=P7e4QUU;(-p*AC{)muablNxE!#b%QKXSvNUc3cJ`%% zkSx8%#QuQGI%NGbB-uK`e3W%()$iP@lgq~;hA!(3C|Q|nQhQ}QYiVD7@F}l%T*rrA z(ngUV)ZYCEQoMJ)JT8vFx=?aoxZYtw*8RMJp^^hSL&3xook~Z|op`<9#JG7OLP|B? z?2~1pOZcX~ZNfJrQHWp;n9flXdtpQ%e)7qoe&80y#Utf#YbwWevQXv#^YOPgQEx&Gt{27a+5_0ZNQo)yC|c?-pcbKFscM6=Erd za0TEelcDUny%L|25i5Pji$UjzK+d@fLL-pZ;HN?iv#^@EuyUS7qQ^&yHAt=zB#>Eh8#Kmpav7;r!lcW7Xl15R;Z31hTBgGul8 z%uIl+H~|+S;8aQ=ED_+-`_eKpa0U6{rah-%&-I{M_ZY9F_YI(g6@XnIX1J~j5p_98 z+i*!^&IwKrP3l&M60YeXEOVY_KK|))x?uQVieo*jV`Jas+qb%aV)H5Q7)tnn{zpSO zM8xgkKCRk>+rKwf-Zd^TT6|!H^caWh`XV@FWk0C&|E()~e}*#o^1|=z=fzDQ1wIy1 z&JwSeZJ=JRW=QnQr6vyxC{Y+ivJOaYtiwv8Fl|bsi}v>LRp@TE#S(=ByF%gWe?)Wj z8D3y>@o0#p<@g`Smk{|OegS&N2hbCya73gup;EjkzN`Vdskoi zF@za@HwJY(TWbHC+fw-{eXv!B8IM4R=|sPxRCAaBBG%yqSy6_1Gx~Tk>$>99_vq2< z(hDN19c}j_5yCrgah==JDlX@@K4MtA(e!6GlavXvi&d4Y-kV26;*-gwq2B6d8aBG) zptSNAeu#@}VO@XW=1^|?LzMNGOVjU9h-2Wh7NIU9PJ{LkF;!MdQl(C*i`Lj&-GGLZ zNi6_?+J1{|M#XAyp?Cu+b4ffV^*!bOMN^TW@ z;S6AD{&FAm)Z-=0exJbDvC-Um)H5K6sqM2B)pF2oa)o8Z|G z95Kr-YM%W9uqXoDrR|UrVnpLG`5|jjJ2PcpCPNe627}-d`I#dlnwvp1s053#%JB$y z0|1>Iw&mQZfqwi5)I9-c;G3bdt={R#K5k2+I$7ex7DI&QSc5PL;k!Ly(4p`krv?GM zA5S+><{@5L+Dbvab!m6j)vrbX_Cz`-H$cv@J{Ph|U;Mm{^Oq+L0dg&fEL~#B%Z3hD z$xM48cX3F4zNxf_`mXL_2I__<`9VH7hd0f8^027zn%vBQ9oWT;ktGJugvUn)p$%MK ztvgI6a8D*cwtHtA#8JgE+>Jk|G2zBkKjO87YJNFv;W%T!LCEh(PSZICUAuK=IZb?2 z7tIZ5x-o+V>nl~^0N!n|M4?#{D$+E>oROiryQuMI%g+1!8jA?!`q#BvYj!;Kv9Gz> zuGi`jWHPo*QaGb%U1LAmcG)~`Nvvp-`u^ew!?Ga!;)qi{#VO!4#Sx_y((Ju(T_{@D zO&dQcbm*rA|G?8-(BTlsGg*zXM;h@S85-5rh8|2HChrR0^i1avqe2Vis{A23 z#&3OeSYf(Cb&$=^X!y+UABfke!#@y+tnkukOxD(Kb;>rDlqN>!EsR!8xM*o`@T}(! z$hF1u41kaW+o*e`FC1Hm!FBN`rP`@fv2096AD+*O3{@e@p3zHQR(>(yMZyP)G!R4sxX23?_Vk}IgM>gKr1W#jBGssgIx zU1tDu5)SUb*Is@v^Y~_kP5zhZ+i+J#L2Bp3U%DvyD_5lCOk#XR$FM58n9;jVA-DE_ zEI4}n1sj_n6PLQp{8gvmK(2vs8}>8nQ3D`RKGwp$WU*0rm6p$*c}?9qRM$Mw;r*cd ztb>PQJFMjw^%-&$$$a%3pJ8-W%Cl#Ou`KYqqj&@L@W+GhGgLP17=-a37P1Dtt*XD1 zh{&hk;qDVxy|LCtc&LH8r;agycmFtN=c)Q*?x@jBIg$<-3#&>nD)b?zd+8A|Y$fjs zPe}%0717>V<(ey=R?5->E3DWf_okuJC;Y!D3t#hOsO!XE-S&p(e)fGzl^WmiN`U9K z^zgD-^|7<=;K1d=>-YQH`7UVuG3oKPA-vu-*%(Z)y!_(W@&0cj2A5e6ZkYWYP}Lft z&N?5#6JGt~z{}3&nm!v#@F1XCt5>M47N-3A#*|*8bzLwPx=gaPdW@*E_x;8HqPw%y5UJKMy1hbJ%vc6APKDPPfSx#^l(S zR?c`;_?g4lClj{Tx|Bw9zTT|l4H|UepFI~tlUYZfEnAB*&s*fUkuZlN)2mtMuRE;l z{R7z$(%<2kwLVzPEDsqJ^Z1zac0Z_i{8Zo?syfQ~RG5%rbpxZ{WQi3R-pDta)I@}1 zgA&HZ>&|Be?c{D|3hyWccX~!H_FVxo#{P=l6uavCJ^PIgheltln;)d<*VHsd7PZ(} z<=>iov(3|wCe0Fy--T_I$F2pOL|esPkgSx2u&fn75RFr^@?RVWq|;YFewlb(0wS;veg3ObW068 zI6AiKJ(~vI#Ghgo6FWzx3+R+j6S~+|&br?-EdLeE9=Rs*9+N_`uMxc9cBd=$+w1+< zuLl1>UJX?gdr1GLxd-uQ=fMgI6>B-nGW--B$G z$pW@XX+KW4|AFArnmHZ79A240mvRGG(j%JYa#K>vN_>)+-Oj4f)=#Z7jt}YGZ!)&v z;Ft&VqyV$`l2&PPj8&|8*DtwB8W`TXm-eONoWmuBbG_G^O~Q>$ObW`Iy_k14gbGPU z2E0qINS6XC7|ss|1%Y@f+T32=$g`P(%W5vc_Av(3n`(-N7;o*#2u3>U@PHhgy+1w; zT86V4H2NKygX8DIK{-Za5I9L*@2#bF#cBxqswF?LV>4@>7A&F24y z{g_cTI%w@tT0*r(YLBSed$%ZxBGil-wL6ud_KK(ww4qk*($+3QD6PFC_TKV+?%(q~ ze>jJnBa-{X=YEgtdR-Z{pxb==0c5Q(6A(fN9=?L1kL}>(B})xJ+^_I`9|`WKPLJYF z(NvA2S4mukU)oStG2{fEMI};Cy;H3bNn9x4g#)rE5}+<@eJ!{JB(Esudl2_0mBL7|>LjuO?FK+%k244Y==0gIdyZAX3tcNmSyeJ-j{EugBFeKRE{o|rh%#(lW>-GGn>_MjwDW}$y^~loC^#jD;dCl>M zB|hel*Uj7)>PEguq`bqM0Cc)G6Vda~a+>)skzU7%vlGT9q`hSA{R(Z1-#1m@cdDz= ztJ9ldkDoVuBczCz+bAE5hgrl|Lrx}7Q|zBF{J5ytaroJ2Z29d2A@cb8f1sE#06@Q7 zjrxGAdsjHtoK6`;u?h-L`(DqVBcqL>QN!O(DmJ;#;=rqhq2IG8$!CvN{Ue!Ms#tpk zDGyeBA-eIe>Im_uNh|yOxiBSu4iCQDs8IW5%7cVY@_#cy*Hkg)W;E}Q{~f7=~} zvaS@Kj3w5c8*be90`6G$s*bHQpxE={3hm>6!Ae$@cmWYTK{f{Vm%8 zUZ(06j$pgg#D|-UWOM&^db=fO;J28d+pHf!WzR-!JK23Nvh=(8!GEAT%?^ey?-ht( z@7|=)wpq@wYPOmfSFi^u-;hReLio-+DcNyKFq;Z9&rxI&WUKKK%6Mb2BCv8EPHjGdHvtAIZRS z2%+m!bFSBr>R;&t3WHeie;QLLi^N6@*&qRuS&te%z=n<4`E!4e-HkNHd~e;g<3Cn| z4wM_p_7L0G|9C5s1jhAcW}^^;EN~I0@k&e=qVnW>kT50i*D9b#Tab-*Z!W5>Prj%! zJ{}7_f*n2Rf4ov>6?w&{SyQNebi-}2jfQu1DJ|w=KPdOaL#@jLc z{fh`dJn(B~_i?lRf1tgAe}pB@a@^18{h8WD=IRYlY&VE?`->9u4M5wp(;8R%;oaDJ zUJ!!7V8=T+Shc6@(y1=~hTnh)prv2ioIkzmKvL1Nx2|YI-JYQ>e|Pv8$n8e^S~NQPa^cFu*xuC4gb(Hnp)@0F8RJa^N{GwH+19 zJQUoue0MA~{rT6C$4{0wQ4-qI#TrM(b0(Tch%IxLRy_4x5j&*C=b5nwjEAbVxAKWm zcfhqexM-77(gfRq0wtA78ZD12Jux2rWFFIs*I@?9Uo$Qs8x8mwfO&>fXJaXTu=i91 z6*8g|IpR1g3px3*P(;HQvFXla3p=}A#;9GOjGBWqU9@PNKmse zxLBoCb`#)I?=o6W;-g0foC)jd!+x32M zu2ZVBh-xZml4_9ATn8CYhzCJQoNKyKD3VnQ8e zUfG7_1)eF245ODB+bP?9q9M2KrCJzQyJ6HxM=eDnF4{YZ-=b)ri=}>*zE&mZP*R@3 zhKe3$DR|qsT&f$t#6|b)$fG$O8&Li!T$)oMQHtMNdkF}BDZix_+{gEs!t2oxMf%4X z0wv%*m0=&toBxDLq-V0Zm!hXKvfq{R!lM9o`11b;uYLlO-TxQx3lKfr*-Qyg4 zL5jAGC~(VBVW!=fj|oQT9$+qgYD%B-^SYZ%_0KMm_$AU~rt#&NIbhh2D0MN-W?14? z-V!|dTX&{e6=@R>m_(POAof3;Zpj7XUK~uVi(7T+NMG#V?I%iI7<>Hvpe2RdV)$^8 z*=bC&h+0~x&T333n`xgB{hU5-PuUWRtddky9RH|vSye4~nRPz76aLoJ{D@EX^eXJh zp<#$P}jZ#L_#&~mG zL|mTTRf9*f!>-BC-snD8a!$~%+^5dus#11V>L{oZ{DHQ3cX6qQVu4*Rha2(i=`7#L zHq_eHCm+!#oxh8WR-&RHheZVG351F98Ub}SKv4CIYc?Fo*q!&m<#a4Z5oK@|ugd!{ zyOJi!P^&8&VeujqYw-$7$YSj=E^gJUSx1b-WLMvxFuE*sF}MIeHBX<`EguyR$=31) zUCv!DeIk;RnZLQ{%>J3Snp}5TBKOP3PI~S=`o@KOE-%xN_PySKA1p)%o^IwLH`;l) z%08K1GR0a?N%Lt()=sK&)S(vd2H!+I?kog`!@uH|n}td}B<8taPFIEQGOus^q5;n5 zmw}~`?rXc!H+JVQ##trG--I zD5ac>R?=)5xobM8Kgd!G7Cb0JGDd!?jtouEEeCWAVHS9|1Z>pW%)EdUz7<9~W=unjXcY9AC-1mrk^0!X7Nt!y;`7+OkAY|(v< zevt?zAXOOKjoAZ+o3|lr*#Oowu&5A~iU9OWMIxgyA%!Kt;$3+@?X_SRw4om)hpq`D zE`W%>3K;b+=zTqa)ctu33d$)dnvVk|u_zSswm^_W!zpX9b`jb^@I(0@%cwkeN-^LY zkS|)(M!-0M6z0NKXx`g~BGr9Rp0sdJtD$nC2s@>hd5^uFJ0oJgZe?FDEq~Yr+{+skMcH+-IDD}~9d|ErB=wKMdrVk7 zeSYdTnSNL^cmM8im`Z?*%cctfNZM80@onXPbr!|CJ&z#{-6w@J&Afaw%ntg1cu>UR z9K^q1#p}MkXsgV7(?@n*`y8cKq*me4Ynvw}Xwl^nGM^s@*H|;N?366NYX0H~`&aaG z(!+Y^4he!7VnEEX{+a23sx?-o=fRW6dB4(b@D*uUN%s z-l&}6B=n3()wN_7(ZHa)q|-H(;Z#Q~SK{oM${Is`eEOZxkVF#(q6=!gwLvW4Uyob5 ze~Y@azxRIj#4qV18V*9mXp@9g(BF2ofBfB6Z*J6luqede7CXQU`d_S8GQ$e9s~Iqt zM=w5W%@9k}<617Yu`#t=C9u2742WU1>p$yu(*wms*IsGT`S+8u?$EAU1Kb`PLlrKX zJX&N$-m)Ay|66LY2N*kLL(U`MbPdZ1LawBk^1u7&1T;0~M&yOus1J)WVQs9{RKrr#t2S8=BT_(p(p%Km^)x9clT zocbRx9W_6?VQozhvx^{RatB3XKgHBt=3@R-mgbk%u)%=OWbhI6tp|7aA; zC)C?GBN<(^42(qxF9;-wp+*2%i~w(-p`2O zVT{vLtzoiZ7?`HXzSz;cb)*BW6HMA4qlZG zgfN;#WZXb#P^x4S?F;wHe5h-KK*8)*VV6U9ZB+29&5;LXsZG&6x}F!~&=D#pqkvr&&{fcb`uye7*{)2ZGi# zIROp(|GdgT7QF$(1CEGcXJwU_-Txw`>@=}C>llE&fm>w}Zz*RlI8#|Vs4%``wzePP z3Bfa>7NXX4{%(w>@(`~MtacBAY0x!tc-s9VjK2Z~6lNA@V44jWasY#0S|tXa?^DlM zNEPZvnLYSY&^3KJ7Wv7B`M%~m9Vuw9NXCSaVC4PLs7YR@D$Tg@JFXERhvvm#5h6y> zYh#DsBP%VEl>M@B=K#NP-@vQbGx2~hc9BfBzO8AT=DA$ikeizeSxK~JeH(Ax($FLK z`bBm6dznp{Cu83khef7dxlf$!d}Ao@G*7XdLXKru2Kt2M8O0mRecxWL!!GMX)M~xn zKDK=i`w!%DbZQyKtfiEB)ZXE%CtWp{{MO`GB|G^ZI<5D(8g@N>lvO6!r1U74{VRF(-Q7(M4CkWd%3{C&saMaeTanL1eITv%P;qvna>G4qS9eJF zmqgCbx`mh2NbxHq@<%m1ynW>CbI9h#?QfZ`3aN#xYsY3E8!Pdbb}bBZtM_mQ+DEBC z9Bq3>k>@|~m8r*1dFz1VS9~#iR>6v|J@`v$r;NQt#@#Q3?*$JO^>m7-;BU~tS4pSs zdqZU419J5Z`ys&@p?p8GwWqK|D>eN=QuYFtqqG z&Q+#P>&PGJqZcvilZ%K8n#62vOsD$F&zCGQ?CrS(maoA+tVgiFLh~(arCxw(PORCz zXb92|n?CeDWNn{sp|PeO7xl8Uvafa+Dyc}ECm(>Ik+l)}8@dFb7NOb%EFho&&Vxev`S?M<_-r6WL4^!p z;Mu~6bwVhJ(tPwKv?zeIm(rT10}1wvp;h)y&D<(#c|}1TYzR$|p1Y7Q&jke5O={t# zq%tWU%%UI+ZEUy{fLj4r`WXZq2P2%6xD-(}Z)-sif`cCL9Ur}+h&t?Yr|8iJ>}bCr zVygv2GxiObL~Uu;8A0g%V3*?K`EBg-a^unaO^CLGLIqCVRsc8aNX)l+C_jK4Li;NQ zVQ7k3i|*T}<~rx^x(mev8o@K-5q4EIHHZz=Y}zk^G#zFGeMgVv9b>r60lEqpqXLh_ zA&o-&A+s(f)TCUq07vk`!(ABwm!c*MMzWa&D*I}R0v)7!KaIkCq5(0E*854 zqliO?5yQFY65acvDPBrw2e0_R!Pa~0$no@{5RZX>>se~y4-Tz8Q@TJT4wBFvTw<3^ z-Sh3{nN0_M{yjY_uFv3+t+uH(lU4KZ9D~M8{sTXy2tCj2s-3j`YHglz&1kbWrHR!c z**0VzKE{u1!zVWK;`#RXFV9B2$nG`wSo6SE4Mzi)c+IKz5QmWkQ$x<)2zoqbhiEz8v*QL}bI@e0P zX~O6N=;-l;W=|g=K2Vq;gzruLnp)fq#aAg8Z-3b=P5Snl_eWdAyD|D2N9y%#2H#01 zJ*`T-S1Gg;&w6wYnJ;M_KfB_y=&7wUD)GScP0Zz|R->9`Gvsu`E6;L$jX4kHOCbZI zRXwrC7SD`Cclhu{AwgjwwVsvUV~hh0cH8wm3zJde_wI8a8?Bo1Ac^!Mpa;!S5g{E^ zeF;y26i`*8eC0eTZhj&rrvuFv^-r?Cb8`?#eZQ{VWU=~ zImIJ9uPMtWt8P@>-SeJ`9s@#+@EpB1sh6=mZayr_kJ&!j@i20jL_xMA+W#9ubSt;1fIR$qA8$Z6R z7$fVho5(!8`Qx+h9i9CzEBQrFP=La1$g1A}zvo&CT+oq~6O3j}WX^+Ib!v(1rViQ3 z4z(a#*66X^;a@I{B^7JN+Vhz=oMR1Pnqc*K7NW<9O@z%O<~Z;~E>{BlzaXX59h?!H%KIvc9r5MV6lZy=kA zJ6G5_Mco(Q!-|q^Uh`J#5~Dk) zdC!&2B(Dit14=*oRGxUg{ivNdP@!y^m%zoKd*ZU1*g!oI_u;m6p97HX!#KkW590zY zK7X65xMw;2CoID9Z;ubt!Zj`K6G~t{qL8MKHSy5)+#~gkf4}$dnZ1%M9hFP?-#L&1 z!1Kk~1!OkmS-7*Eg;^HUKbNrmI0GmcLG?wv2dLTO8em`26AF zh9EbALoC=2TnVfyK8&Y8ulLI-kYTL!spfR@T%fw=tmF433OZl*Sh5a&tuXp1g($oG z=;iC3#OEabqR{dzv0tS&dH{I%P6A>0R?EFV=Pgbu7mLr&b>z!mX!sS~Y2q?F9;(sm zMyx$K5?RKJSERF_S^?O)ZA5Sy!8^Td$&H} z@1T4%mSFEGmYPbi24@Pyl3ws;T_o?qlN`Pkhw{1?Jk z`}?2so$RGUX&b(-!*V{)9E$-5ZtQr@ckkE+e4e<@RmsLy4x!9>m5Aammz>^R^`dTL zp0#|8phlC?(6lr!zK)d&VuQQe7<`p|V$) z!rgJnKzkBB7{b+OSket#^ah)nOdWp$oK0gS=hs&to>X#Kqb3{-+>`1?eC12-Vjc^i1c$WMY`$SEzOq{t zsq4_`MVVcpGNw-989wtfcv#XQABd&S{S*Zf)8vFs`o$s3MX7pajHolI`gOoi1}U<> zHW9VYM0XzvDgwru^zK`Lz>i~p4F=%)Xn0pXMg6~22Bkgy1I(}{=>CE>cev<$5>V^u zEZj-K5#yu*!LT`5+td)u20;0Nv91X#o)-uvf*^Fd*xaBDcDry^wztV$^obQvP}H72 z8eS7NC>53j5$)~(Y9*lY5vBIa7L8w0hq^5h5HPTxj+T~^Q${XO-U+N_t5rY?(e5gM zD;LVcqTE2>Z!$ZaPYM#Lr72Ya-d8a~eGzeDhUPxNu1YH&@uh-z4mRBn+4u^9YXOQq z@iSU&4&2i4(@{Db1gUNTI-hucKpS=|o?-!N(Or(xl7h{eq5(LZK3e@?00LIvPXm9& z&=D$5piG0v2q?{fCP)E!eNC@9LIqNW=uqYZrdpIcIlbE(d;52!3wIVy#~`z+o{&zhw4($6dilKk{C0OfjW%rJ6VJAB}HM2$$YDw>+|NkisO+PpWNq8|$; z|8zO*>GTN8Q~u>KjBiV({RB3@E>!4<2GG$Y`m814>5jXmOSq#O73#%Vj&C#&v|4k$ zkE*2Ty4&PCwaqPswc@n2Ii82V3TI)a_D>B0u>~;ZxAzl^zgA}-svQ3Qc3bIX=L>q( z>Dv6yJs&V6e>rrf-+;z?6*SksklZ!X_>UqU#R|}t_BF@WLhvQn*cvw=CE2>E; zFAR6F`4JXgP2W!zkuj{dl5uFA^FoBLlTY&-t?`IY+4xkx{)8D5f3>uGbfz8l`%5m5 z4tF?jSigUsu4Tnc)Y&aKHxWH>x-z%;z!RhBsw34to zGpARebMq32u&ULu67CwW+HiT(;ca$xZndex7Mjxz%aH*tqkI5a%@d>BhYC9AksnY{ z3HR`+e$p?bH`w0Sl^(FR-%VZ@%j;`}xB8t+Zr>ak8EPurG^SJ@3e{uQUn{1uPrmU; z7Ol022XT&J_8(lKWs0m4*EWgf6Sw-Xq^lN2*#Zk~3oMM6rg5%iYaP4SKE44E6gb3%f7<|;7j)K05K@0QM z<_bsZg=Dor-VI*kGL>+9pL156clegF1aiOIY7>%kQFt=yUhhpdw#~PMQoYnsF;oV) zEP4f`GcMW%6$T8mph%0no<~%sGoCNF0K?2Go941+@v4FClj$1l<|7P^(Y1J|(n}em zsQ@A=xUZkjHM9(kL?N`%Ei$kh1~G+hB{rsOrqG4asJl0h3j6qKtqE+Q7@!_oIXC}P zJzaXYh1vKzN|bsKiImeT=LS-2J4LD6RN%VZC6@KjMekIqI}slKxfY>|LrvMBBtGeo zeu&VmLNl<9;Z)NgZT=S0DJDFUz|JXBP#ENg&cuL8V{0%4LPIePVNSm(&QUkpdybfs zdqwk3DCQE?g4*kQAst%Wweoxr(8k}zK^8^xOIghu8K@sgD0M5x%;5Y8ffGd#VoW5y6^a0E`{ryU^UW*YNyJ!~O=}x7- z0v9Fx2DXADgCH5btO15Xd101+7#9yD!nU^nty;KP&sV zo%<*;VQ3lnoVwu_J;m0v>fc>!dhT$Dq*&_4FUPwC70cOijm!S)zEx`XFRjN0qkIOG zCtNk77$@#nlEwi=5U`Dkk(CW`DhF?#&CgGsB!_F89*;p&zN}Q2Ed(>EaMyov`SSY% z4}^d7%r*8$_m;-NkCMB_zgHoxIJ*e8SxLoF!mF3cr|;_gC*Z9Ya0Ax-usUm zzh1j!1r|$~EDz}iNxmG)-dguDDkz$;Z~vR)&P?h1KoO46YThxTdvWY+WvDnem-`>6 zz%G0p@%7N}Jh9f`6E^pW>C1A`=OVpSn~6UNtY&0)f&;6~UBdH{zfO34 z&H;~_n0nKxzJ~{To0g9V1}q+ZTh%*XB=id~xn}U}Vrq@2Fb=Hd* z3KgEp-Pzx;nzJ*9#Y{#V>MWersb$zys8)Nip4Ld8CD%kIk{!JCR0qUFUd#1udUWZF zYv1fwF6BDQwU$$DK*$U7XsHNsTp&lo`ucR8biJh&6!4+%bjbG}{C1~$teqQf+^c3E zsn=IOR_-FNu@rmpBXMN!Nxod9fqCfGv4ukA->jnK#oK?=RerlrTr=TnJw?<-N(q;` zw_s+>O71FTUuGIMmW%2&W1b5j+X;jK*eeQKi8l0tB)(7I&TkiAp3>O3p1AMnlUF@h zx1G55W7pKsF7CT!9yD{}L^WFN1pBs%aIA&#s=V$1cPKXqCQ4Hf~7TD_%eYWYa+ zbdH}>n+B42%pWM&&zsUJcax|aFJHE(snan}imf<$zv`*A{zYA}1Xs5A5K?ODDaD9! z%7ygS0Pa)BtO=chrX(HvtvH}yqr)+}<5*HbtD;317?383bBcZ^zoxGRjFs40N;ZJu zQjrSeM#2b#l8K7@4=l}S^jmWP#Iyq>V%unR(8qQIn>+b_Ugq1hA4RD;bF|>tL7LJq zbE+;IyB!$4`<*QP6c`m23pJsso=!SF9YT-18l=*MqDNkrQ0Zd(CF`JBm`l}~+9|-F zvf~lxs+HgC-X|N{3(=IeokRM6n@}^&nxiiD3!b%-vZdl#Z9pv*q5p0K@JOf1{DBA-36WCN?3~i3|lxbQCu`pLd~N{ zGkBWs$mJ-ONg|5HPp6qLETD+j$el{kSB0vOmM5_@HBe{_4?5t-g9I1QBh7)>jch%T znUU0AV3sFOjx#_4ZWI`ZClR3sRF|<0`#|m#l2XH03~U{+~#s&Ta`e$*=W{n#W2048^FE;v$AB-)_wLH4XG^L(q{ zKb(|GdQC6QQI)2`m~Y&RNm-m}Nt0a&+Em;>B-MINXgh~h{Jy{|BP~oHb+Bhv6==?d zxzXhMrPwXLIeNU!6Cbc2)9=I96JqUbC-cnIT)C1zq+~@Jq50vlgGX-Bt^Vd@)t?jD zs%N%f&h^mG*-N`m?VYAQ-#Z$3JxMeI$)7jOS3U@TP~*e$*F)_^O$$oV#)!q~b=8)ViQ*JE)8&v4-zIfjba?V`AA{+HmVb!w)%1~GKnrjN%1ixzF@I&oevvg+QuHlbZPxf`j_*g2Fa&sW2aI|ujrxh5YDwF*QJ zS5)GjX!x?-(O;*`r!Q<%YTr7S8Tv&o*>`?k_UE+b-d4P#(kiwevf-@C{XFag_R$9< z)cvaNzgF7Vy?YT3nQqFiDb|b;!z?G)2T8(N&UFFCIZOBRx2~}6ZkRkVTEm6D%gOPc z+w>!1MqK{k?7Ed6&6IQ51*
dFN+)&2>79ScTGIv*3i$N~>uj55pybo= zrG1l9=et+EjTC1|%gVbqp&Y%}eVoM(r{?NIoljm(MsFGZ3lok@vi*7zlaV2sT;XpV ziRpPziN)H)SBg#vGp9e}1NSPZh0HonSFDYy{oA;rAMz~v=!Hva^Q(9l*$6Tx4;9K? z2{NCji0pE{ck0LaE#YyRrdSy=IX>VV@uUuTGr}e5A9WWmj?Ac0GLxqf0UL(@frceA zj=#xJK9yy93)g#P^d(CtlvM&D0y<>%;aA=kCs~8G+(EC5e&6}o^tCmt*e@<3ClqHt z8ESgBe|K}T8^-UTSL&s3RZ^GTwpx;)TK}2LX-Zo%dtTp(a5O6a)nPby@5D^8-xfQ( z9yxZcDJ?5#udP#i3@sMMaJQn+?Un4(3-;uos{Dt{ZmYL!s~LarHrGeI+{r_~vO;Cr zFz9CVFs=7Z>!s16v5RPTYN2~6JVnZf3t~?!W*rxV7oyQJ)|)TZU(CTb^LrC?=n`9= z<%qxc#%8U*ILtV)?e`Vt9MIv32w(FtO4o5j#(3xBh@Y%AKNR5^$(a+2A2lC=Krk>*{_kwi_{Uy(O7L?_%H9?5nh~nU zA+uL?tEnc2*)^m=`Q)z9n;Ynt4VL3yGHG&Sf4m6b4Ht{N)Wi7C?();6M;i^jw6wF= zU-Jiqnb7@mK^*y^YPQx-Nt@Zd;vdeMa>Nr4u;LQGocnm+(RvX~(c;1FdmJ@NF?Yg( zd`pU0`*a1oIJ$-b#63W4UQS#GRQBU{OHOOxK4CH)%uSJ>x>=4di7^Q8hF1?Ljf~fh zRC)aNxhNa;3su+q6u%`BrOV?IfVtfIZ#n5NzeiB4pEz7frSIpHpgbZ8b!ibTvl-Iw zOwKr}Z}9(wqlH@=t56f`ct#l+=Blrp zw?mXq`3&_7eg|01D>YCCopYQjK{8AsIuloR`_9LrOeBM=F#x? zDd=NmzaBubraCa48lHC{w(+fiXWV8+_i}qNKeTxAD@PBXpR?Z-db;eiuigEqbNQ17XVAFhrLJN_-QuU?tCE}> zM{F$4j=yVxC9t-WD0Osn*&A%<^tCR0K-H%gH0VD)A&rj7ulZuIjGhtGwNBj2Dr@;D ze~+nVEV`yMAlc5v?LiRaGxhjG?K>6i|A8czv+gu@ONV}DSSWIRL~41gt-J@j8I2=x zl)VSq*<_aicTQ(zE|XC9r}kJafM6oCrWIP!{wfzOI;krUjOpD1YlZ__x zd!ufP9Jj##r?7m4HQ|o~WdyT%m&7{Lo-L=Ut|yvy+@v~LJ0Wh$bClFE?F^cKa0wfv z`R#Tm6_8j4m3(lE*5j@P>2b`u;qUn)Oi9t%cIU-Wx2K3v{)p*9^Em$`@B)lQq@jp5 zI>i9IbPL!aUb`mB6BoZn%mapRZRa&Kgwd3*09=o!1@dd;s2FM9=#+Umzc#qV|i-2~eg8(XBXdf1M)x+(QgFK%uCcP%Ft37YU>~jZNnnZW!5X0RauH zB%_7xV$uO-kr8A+g56dry~Gm^VFe@`D?sn%4cDbS#yD5EL4$zV@_dG};~@3f>5!JT zu^!mLdjzz3%r>_YQtSqXVwW?o1>i(~5NsS3K&R%hfKn_FFygcM;4M-F_~nrO*?*-k z#;2dHS-2(sR^Sgl3A5DPzuMy=TP)YTVRxs+1v)`$RHwWR@RLbbLTA=5S<7#A5~!AU8@htL;bgaO83s)^nXP8DF`sl)azeI)0?HjmK;!$xP6E*TpF1=Gz5>TS6;2d)B_y zKqbOV2a8?5=3H%^e%+vP9E6oE4@Q1(DtU5j^xF);qP(@vJTaJ1^{NL1IKx8I&7*L% zXRJ)MjBdJ9r~(1m^kwa4cJs35*rYaN;U?ygT>}SH`(5tW_*jMIJbd?6sQTq%q-HpA zfMkQ|4>52pPnjX(;?|h*gu-0-P-E$Z%4h8Gxw;GC`}oe6Vv)>ZfJiI&`85rz(M)2- zu`%9Y#I?b-{8D`rz%91#jo7wTJM$Ff$31v>)Gqt}Pa)rrqe#8Ijds7TSqSgHu`NFF zw`WR>l%B?R3%xN#361uG$#=s-lMDNt4-ye&PYsi**v9NX)JFfm#davcFKxEu^@(TWEKL0Vz?M@3aJIh z+0p1Io>^n@EflUKOO!9h_!=uxJ{` zr}W#6_yl`9MK}+RYUX|R7>krwbBjNp*~mBE!CMwKpqKKfyDQ~}S+hE?8b4b*9^I|r zC_+hMKvH$J2A{iBJmu;;%XW-SjV^b{-v=@}Ff8y{v)9W}SD1#={ETSWYTD@TiT-bF z&IClVVIIZ>3KX$1DenJYg=9@CqkRp?6wpcreTx2ZI+P8vBZk9e$Xf0oWj;tm>U0?J zkhu<=#mJBoLxUHD#)m^>VDtzr07(I3A>i-8|JR*mVee$yMDYWT|6s;v9-!1ZB;5Oe z(^qMjDJJT+grUTA0bE<}SxznJvB+pxYm;uA$w`Qb@A@Xa$E_J$Zb#U~|E}Fu?qj3x?ky7fN5vicJ(;2F7lKe%X zpy2uRl;e`Mwhh>&VVs^lLvfD&DsR^*T(*wfC#8HuX5Q;!7-1<8 zt>b?7c;EULO(*k9W23_h9vF6SO;3@ zH;3H*cx|~{`>e%S7P|`&e1d^0qmlk@fz;S?TY>b{C7a2v>n!<)UiSL64QI2tT9U!x zh^P17zZ{1(C9FF&#~iZWaTit-Pn;ux3zqYIoSCeo?@qXRO{C?~!}dAK%-d}*9;0qk zHv1OM8?F^u)@)V2#;qW3j}t;xH>FD|fyD~vou&kf$%H#|X1gI93)HeTKSN)#gK2q^ z>v#P|OI2#LmZ!zNev@8sH+Qy)B-*r=k&y#OX1fMB{?NT778n^|wN&T1tN*L!e6~6= zxfmD$B|B42Xn-_1cI1>4uHy)~Nok6xRUY@+Jx{U8IH~gz;YswZHIlE`NTzxl(K)q| zPl_X~u{Gt)ecda%dBZ4SaU65f;LzBD9Ho49qWpF_Q-Xa>-L>7s;)IfDUiPE>)!X*V zZ3*A^)E4NS4&%L|>3;bgbm-$I$NL+vjorx6nk9;Dyg1+waQp-LvEJ{tF~@5*I4pVWEfZKm z_KuiA{?zy6*mz>q?GjVIxGtWkp1VK)b<=C3=06bA>W9E*bOVJ*_J!~F)awMluXOPT z;|$OZc&{Y6sSzP%uZh>>Q?r(&k80`5Be=*+*5N>@aM$qoW9XUA{?vWry6zg*k#S;O}>YW{|! zeAly+>{yd1yRSfZ|FnfWml9I^$nTn&cW1`irHnJJWzTnod`Mk!^|mJ*i3cud&?drs z?Wk(XNO=w685Jz>T;OA;c;1YX)b=xM9QCTO zfvEnW+8w+zC)o2tM7iXkZJ*=wl21WDbm@kx2Tn1Ye^cY}SaM5W+{$|E`le8er>B8% zowN01fNn9_#lhRp<)IFXq;TiBk^{=2e+$~z8B*W$tv%9Qs{8Zz&4BsoZhC;T0d+Xajm#X8^D#^6nVU>WSc+VCCn31 zixekRk!8tYeq6m}%A;%g=u@(;Ws(2 zNx3UZ3wYnZ|760<8{K2Rss(tT?pVB(!9QL7IC;7Ll_yt$nal`DDBr-mT=-qS#i;CV)oZvj6HKL>zNAcj+57%p zq*%3I<-((xHZXWJI(2{R+C`HM7xGtaTz98kJo76i=aFUnT6U_e)#eyOie}sMaRn0Z zF}CX48;F&~L{okia@czowVo`hWpFXJ7-7MbqtzN~UPT$vQT~?1aU`9vD1J3Y`vpqu z^=KuI`H`)it<3MB-=4iOxEPp%3W(RQ!(Efh_Q^XZ2Db1i9F+%#xM8z#&*im!$b`Sn zl==af47*wE87|iTu#B!oDs5uy@+4xkWW}G%RecG1To>u8mi}cTT8pOG*px75^?>cn zeqR|kMLK>hqdh!JoXfP)UOIW}XQ}X;hiMOR6L^f5XTZe_OY71HOVvkWzQ6>cN8ETl zE>drtTG&6WTEC`{RPbU!Ie4Q>uX7|oK2SG!x^tlQCnkADrKQ93=?%#;k!hN2P+!c1 z?w^GA8)H@BrT>bO3*`Z9aOuLb{a=L+_ci=;KbJv=$sG5*k@QJPUqmdLVIkS#rP`+- zSo?ludsnja_(Z+{NOxhU*mL}$r^$&7^gRbbRLe+9X^{R+1{_Y?NgZm@G8x|ziDN6AkzamnF>O6#fpeAyxsQ3mr+Fnt0So|{z7uSWnkLe z8(rL$W9)>d?ngHx1Zze#hfwlvDD6b>GNTl#<#g;x#Mre@_?orr-gN(lgMXu7JSI|m z`gU^h&-;JfuNrL`+~I-LKYjevU;4Ygppf!jeYD-yho$~K)j#7iup=N_ywyrptSLb-!<3CHK~-bw!K z&)%f$h^=&hH0giFI+|>oyAQHZZ!&u`DN1eWjcdSsm-G;rbllM+B#BZx{iTV|wmTiM zJqPfZrQ{N=(=}w{ci7YdCvAq;B?DC{jMrkn$z^DVu2e28lJU|PGN2R(QV4Pdu zLYI`e9G86IfPr9kzi5D=+Ehp)HCJsfmaOQBf$VYr7Zs1zWhR54%$ zauw7wo#k|opF^N)= zUwvE2-et&kIHu;@@*l`f?a%LVz^}%2z`bVD<;za+7`Kxf^KSc*srp4G z;vzuTlO&ag>|>Ws<4l1a2_wiLuy}UQSSZ=BlL13t4sUbgECM0)q+;V}1rM=!Rb-5vn;=YKe#8QeFx^kG<^-{w^}q*xPk={J|NREa@NC-BcU9S+Bfv{=eGeF|Nn z9!g2>m;60~{$seQMQJKX|%zX?29EKB^;j~&L(-OZ*PRLuHtvkoK{`cWj$h0+9p)T> zH?$GvjAoh8%rvx1e#2~meETf*hl|9kJ^QPoAcZZh(5^MIj;;!vBOb6(QzPOy8!r^F zB2jQ)&xHfDgU+35iiTZDYKrgkXX4}#qfx@lMpI-H@7v+z*&UtB9_Pp%E2m5iAE7MS zTk+{?y(J<-@&tCc5NiICnaLB5XK9UGd~K)*l3g?UaOo~|E|87o7M!_PyW#7lHsu9$ z%j(8Rj-|sg*>Y)n`IXCpess{qD5JJobm`_#c}7AVd-5kycR5I@hqaWw-srT=HTL6a z-L@ZFT`C^7iA>_8-bTV%g@NRwe-pRz@oqgYVvLWEEhu-*A61U=-K@9xY4mr09^=3? zBgJ^fNreScZMdZIO&m`;`%#wJ&}7v;BFwM#e<(W3s5ZJa3J0$sEmGVH#ih6tD!98t zi@Uo8N(;r^0whpe0>xd5OVQx&7NofI-MRl}1y%yd%;cPR@BM56Kl1a;k~RA#hG7%) zv!aF{0*wG8NOqcu&P&+tPt#1DG~^;sM{f4MpyO`J;3iW)-0MhvH7-Sk0G#rQ*C?vrpfo1!wZUJpZ8>lO2aK~mKImNKHqMk2 zDE~D0?IY?CG&N>yA_bZBD57b6Th5ecO?O*+9|Bkf5Q3(!ZNFPA$c4A-L;8x9Hx%Ey zQr9#T0Vy-K@NW?&b4a-6xMK?5l3RD_ky~6IGfg`?di}q9rRohlix;Y$te!}=re(R47L;~U1rzt(i(8#v8a@s$ znm-M=>UpQVsm7sjV0o%;>SDfu+m$iC@tOb{(9BKOO*g;>`6rOFhj``$yKEtsXHRv9 zYt^x`V>(K^uy@J^JXI>*)pg9a=UY$b9uHWu)JG5fmbIU`kzc!*M|D42r;rk zNoH6~o#HM8dX>Ma?DVUHi1stvf}JJ-Oh>iM{8o!^e-S)8!T|T$NH$hwzT0zR9BaoC z=lu^f7P|X*(2YtquXe~B$hn$`y?t<3I(sX@V<5Hx_M4Qx!=0e)3#m7tZPpduhi$I> z(*N%FSwY!psH8wy=&qRhDZPa9W0OgJ8U`Suq4Hxcwf+OCW6&NytkDjWlzRHU!CV@Z zG;V3OcvQAHorG9YSLGMfM)!`N%wlm=qV31$n?VJ*WCpJN^1&0phIqR_a-&&TKgU z{6j5t-g0M2;To;}Ptla}R3CJFHYA|_37bT(mpv;GNPYOIn9$#t1&FHd^( zvcb!%o^RX&Nc>D87xZcsJ(?2=vSmjXtL4l6eFdy%zuf)@+AwXqu2>&fJj3<8pZ?{{ z02^XWdo<+w2gZ%8v_|MDd)3D$zS~Z5fqY*(kM_)oO1-?#&=9;Q`zm>o;Ij)45>;QH zuY{|nT)tH*lvxlA`93y`T*&EYuWRrUGSv1qclQ`9ar7i}a%f$&I;VFc=?@?T7hoBU zwoTRPUE2JrmKyi1Dyv7kmG3^ks0h`{`t!1xwmHimIuxaboP@BCYNuq{mAzk*zbf-i z`rLtf(psQ|qojtO2&XGA!L^U=+^k<08{9}3fCQIir! z_j@Aomzh>6%KcOPo%JfAm3f8EeWuj77wd0R!XKLNR^<$gUW!x}0XZxfIXRZX;@U($ zVbsKn9oak{1bwS1Zh@%WrslA@r#tO9FW1(PPI8GrcKpdvvJ(wAhI3;0$`_~I&@qF_ zrZ?Bm?{88q|Cp|Td?ftt#(Am^-ptw!V)bagC#Sc-!H}Hpxk+d^&>)(>3nH26b+ook zZD{z0&HhbYYM_F_Aj$LMJFH?Vec)9jj3KhPsU)EUce)`6%jUy7v&A->#lgw+7$1uf z1KFJ#`o!{nX8z80$`u$*NqGugkj-m*h=Pk>DQ%=n5a8!ChpIjJPrJ+$AMFHJiB=U5 z1pAJB%vWy?W|sZKd8AO`KSkMC0Bj6a!^rL?OZL^Qi$)Y1L-+Tkh~5-gM^k+NP5T2- zrO{ow(mX$sc@*HmlF{DVc|BoE*ioI%B-3V21~adYO0)g${fHP57UVgRA`1JnlT5>g zyM??abVo@MVQ-{~h-)dx(<-=JJ?x=>nFms|o+IxCMg26Bw}GOK+eh2=()T_^9srzB zRO6n)`cxw{=`sgLT^^v~A71d&NYNxOohsl1R=!f-B5U-_*+>nSTF`p*Q*zt6`Xj3r z673NzY7~t`6Da_xVFq7fAm|tXbNJemxJD?DzJMunD{TOsZ38(t7*GutJ|$Xzi4yro zmRCj5XqQD8N+(dXLmRZ^r`lhc@X>hB9GuWl1r^)88Neum-N)MVq}6GQ254!lD4ByV zU{3NvjGBe?J!*vn1vv>;Sp(2ud%cD5V!Z#|jP&yFuK=YJZPq>p$YIoQmn%?DZ0CW~ zfwg-9Jyqakq9Wxd@voas&lrF-_=SD8gyKS2bA#y`P@R|%=d5lL#Xt zIlnJEPEtl*Ymj^;_zXuau$PtYsv=2a9C4g~p114_zTVsftFQca=H%v(zt^J7JK|?x zI5nA$ijs+lXO-Yn>8}%b(ZJ@eD$+-w@QG3ZB;k|8LQEB#6^YI4hem7-l~4v@w1IG` zCZPC0L=e_rz)}bkJ(m*M^8v!rPykfH2LozcX#GGq5Cd#iSyz=X)(W_TdIse<#ANE( zXC3bsWlb$;Itc##6H|xhsmJb}cQY$dc@o9k9$L=*1Fi7Uy6+K$DG}OlN@O;6*lqok z>$5P1y8NR9Hz$I=WqW&p7-4plW#hrYewY0BoNxTQ7(14!jPv%!E-z>iwiXc%WsX>V zWn6E8Fz5)&oTv93VP8~L&8{H6{P^ESFJig;F_GouLazotWCg)Py9k@awnJ? z$SV(C}dJ0(KVa^j6dLT(-4yK8|RVLl=Ih61A=UtCpT(74}KcfvEYGx5jy-@kjJ3Jz9=q@%^0nU zEw9}F(+AJ`U6n5>iw8O-Shljpfw@uS^MMh1Ag&?w3kh_j76=oHx=0JfK10d%#M+?E zGwx0%MdRDL&(`}Eo+7DPK^yMJEc*}p1eG7fG)e|UaQy;7L`%SGHz|6z!;ZY>l7vW*@4yB$LSASUJ&CR@Kqmm=|7U|fB&5)Wb65Ys zE`X=%4Z+VYlJ|6P{G7g|Wf6k9$UVfNF5VXn9TW96s9dmUveogakP80PB8(v}2sW6j zHB3QrzPV>w*X2xn>AC0H=NdizGeEw;_)zZT7dQKn-rNy~dr1FTNs$Xr7XU>oWBB}4 z-!=}rhVy*5<*yE<;Kd-0$O9WJk2GC=Zsci9p`{4LtO2u$#-?Q!PsMQ=7z zebQN*;st+(YuEiaSs6pthB}Instf8=);^##|W5v z9fV%q+0ug1xSBnnn=;I%afZ;L)R8XfQ7cIS5-CqsN^n|?ca$CBQLS2Q^!{CaEGhL^ z4gyc-PsQL*(`QMpj%Bo!C8hmx_gvX5RhHJe8pF#~2dW)*7dBc&SUWbqD_HAg>L+?h zuTooBfSobN#-W7pabOvI=0RR!Mq`Fqt|J_Dfj~(1DXZ$$R^u0L_=RrJZeGdBsTKu?tBr$0hr(LAy_}quyi(m`g8+_p6g{ zMf=ZYvn8gMA9&=>ZOgO;fFr8zH2Z%#gImF(mr;-(5zOC+OkgLEY(A}*KeVu(&r-(e z_A}&EaLfJMy6gXGHo=idt& zEoBfqAB~-rGk?G_KIm)~!x+x!F*ZDi`cp|WYmEfgSf;HP2B@s^B=QQe^mU+dQNdR*U>^yT;@INsz^Z(eW!Xt z3KE)Z6miIv_ZoC%Bjgp5o$@zF=mQps#6M2m3LY2EY$EwTQ=v&%XPeFSzIOh3U@Och>a{O*E&DhW7H>hqJH_>)I>vdt}YFuUn7}XuOB^7m?p7pM>@&q&a<43vM(67(DQk5{o?!#Io;vqPMhbOOB)5MO@ruDvAS?IeSNTjwC{F)ttqNqAko(22X4=D_!$n>(3>TJe2xo+*RHn6&x4Q7we7z zwyry_SS^mbHXol;pPm?hvafpgYfL9;IK{!e_Fc<={k0i{wi{ zQdsz(dE2SEDpRw5$iXU*OkO%ykVi?q++(>fjK3tNu|XU1BLW<59og?ah%uCKcDD=0 z{NlhVV&7Z{_yNM@Gn8x)_(h!SDCC8g9FU)qxL5PLEFP51-Uke5vcyd%N`oV;8^KiI zY|MwLaaSqv^YL+v`=DBU;(MgKBH-xv^BBBSle;Xm4wdoAdG02v4#Rs-<(U&N{hk(R zcGh9a$U@d+`K!CoW?klwsoL4o{7MpcmrJZ$hAO9;E;j(}-h<6`i46BW{T+6z)Mpa= z`8dYWrQPGxthie{!9vodaW7f>c-f1Zk>+knvct|Q(;4oT{NO-d3E8)$d)BOhIrB^P zr?g4j*Lk~K4ls(9-MKHo3*C&uwIJeNnjU(RDiL)?#sX}&#we(=s z?Xz<~d|=jN0`}cPTfTN!q+@3Bv+86)kGF^rK#?})1BOXl_W4QOSIXC)697oq&M!%- z@Ea#4TAhNSes{F`xU07NiG_y#aH1#3yN6zue@mZEjFlj4M&;fjNZI{6U1i$v^8UNN zgeQc~uSt)aj_Jk1KtrgAp3zob%+`hg=Y@vdQGJ9rSZ@eYyL+drQ9||U1qoz%18SiT ztfY$uxXeY7-7^zwOFY?aqA!r@)XfeNB*b3okrmfcuBu^uPv`kTK*l^s1t?0kWn}6b z^B^thuakDhTgh>EXfdO<2qOJ=iOA$cfA-UNzJl>Tkn?feF91(v_d}ZuqkzlI$#TD$ z{|yAdfgAGxTfmsb$BBj7Z|6X#Z(i1ftC5$4O=phBuizI-8j+rIc)ctIy~MaIzoN&{ zCzMCXb8sf0PPTvn3s}MKM2}-svy8~7wlSUffs**0ilZjU0X=Rf$y?xgBGh6hgy_vi ze20o1#ykEY7IKjwze(rPLMPio15m9=x+RHrK0wNvdR9GoKV_wkQUf9+#U_^2_%`V4 z=`Ug`@HFjV!o?JB{^NX7!v}Wc?`Z1ajJq?xgn-(qzzV5i*cL2BdY56xv6QsTsn^QH zC#(zixKWp(+RX;T_BgJBjTA0%ZWMSDy{RExiwV~OPgZdUX#2Z1`mPwjhI`*jyx6!B z#@^qS>l3SIr#bJrdhbeZtJ;8ZUMbc%Wf8xf#NFteiuEeaNp;5_ z-aK>6Maj)BG&Q3sCVX3s$6rZ4g2C+H?|vQg@%XPF(lyd_DEQS*FiSoMPrm`klbkw6 zy79Wi@o2X>{#`3DK?}xBlEUMb-+A?7?UjAS_!Fi59jwnEQr+Xr4Ib`4uUM45Q7v3p zzf??XcD~&uTj0Q0}hGT0AL_s+WSG>Bp!kZ5Gr`J*QlK+^*TvH>9U z{a`xgi=FR%Uo}Qk>ooW$2PchMnlhx%??rSXi27WGD)bL9u~_bSLEhqrH2O{sNda^z zJ-?#p0I|aKnN6)o8HWVw-@LyM-5ZKFY|%Qh)+qS;g6g_fAJnTG0v2pZ342`YIN@{eei-iPI97GkrEa zv`eB{l6f{w)?b?`QIIO{`vD`%dN}sOMZ2shRkQ~8{s)SkO$hKt!dPn;%ehbJ644r_^@Jav z7qe2+gk6E>y=EzVt@V+v$rUf}F1K(mNN5lsK{q+6FQ6CTg;CN=0rdu_M%&G zvQ?J30TUuR-)>yJD0T1SE(U4Ni+k`5-~RB~`OTv68V;pco#pB;6QdDAW478i`c&_>zfJi=>%SX#NA; z+`&|@(+Rd%hYJ=kZ-1TB)^8}Q>|(+dtQXR5h7eSJL0xHQDYUXb?)o%$mIMLMYnvBY z%Inx}{s~uFTbx}LQsBN9NU*_H0k$ToW|%CWnY6X&4j6K8&S|P~lsOh-5eh7XT&i<( z-QX}1D0%PeFt$Z7SbH0nCABc*R7zy3wN2N3bKJ7Y&!|U_`BsJ`r&_~rZ=E)(9qp~; z#;Vr0t&*)LNGP%z5Z+{{df-zm{J^0b-};@VWsY_D`T+bel=|G$QkO(lfQ~`;>j?UeW<~?(Up)Qcly2=2{UU_q{!b@laI-8jDxS5(?;&z zA`eL}PVoQ6@Nl`;H4y5-{Li6Ixh_{chD-G`VcJW9x_jW<;+^)$CwiBXpYvo**!<=8zf~7>H$Tt+-v{l-emo7A*}Wfo;N|ZPmfVa~ z{eU2Nhx7m>QX374(X4+94=t8o4)bWXiYxpK%Z6KuQh`0SGS?3N+WDB8f8@o8Y7a$z z`-_>{Z1qrMTV%h(8CWbOpSH5i7xu#&?{AHAX_@gY8$*b8^jEjd=;8rpX7V*yZ3SOV z_=aSG^IFaNb$z5IEu*)Fh$i73Nc8$xSRgHD#a@&t&vt|5u%eHOI6KEIF-*8qxL*Pr z{Gwpvr9Zob!ZZHu##?pq>E@4!9XA!N*k@$>y`Bb{2Frtm*@~JCiV`Px8!wQ zRwn6h={vVbPR6y-O#9p4XZAvCW8NgWTW`5nVQiyf?My)rAK_hh#xvR2 z>33N~)c=8+%*YjS-waTL*B#YJg9i^8*XWIGZ^ zeF$aJTWD8WTYoP`lWZdN8|*Kmq#9t-rnnF*20QXOtwg=Gq)}h8ev#bV5=uCc2e|wsQZfdeP0m_D(hl-Uy7qsSQH77gHxR7_g~z#wb@#bI`@OTqb#Gi2TA@NV zM61TTp5v3V!3LYB9UO4Bnfjm|h5C39<;v0rfgblI1BNl&a(qT7GLM12&EA09vic*} zv3mtD9s9mRx(LgarS$dKvCOuJ*&rXJKW5=Hqi^iTqz5y~QoBxw6!?-q zznao*T^CiWUL1Z=`7m%uux|)P_jDvxiqoSQIckG1eAP=E`GWo44d3VCZkD>lwrf*k z^1`2*YjWY>iqcmpzE3+;j@N&Ug$laQ^e+~OE<6v5B1bYtDW{D@>RAr(*0QObxhFIR zESVQ*e|M8)Y>&O#n0K7_l0uy0X9xOUjQBZ6)r}EAy3p3^s+vMp#?1%LK^{Y|dMXRo zXWQKUAR&j92n!qX_OW6ULx}bAu}CCe<3Nl1w@s%ds`EI5t{IOO@(YYon>)GshHp|8 zCndf$N1RpOpW>1MYmdd!^o@WZRFbaSv#j~!PyHO7`}VK*9ZJ@f2<~1Hhwz`5a-5NJ zlg1gCAq`%5vn5m;H4h}~!+7qp(fA?)REpHs zA?r*j=N6Y8zxDYi>l;1>%gEnF&es03AWdt&jOi4*)dqcX=X zE&BGWZURxKY;YAQ$+8LTFuwD|d~Y|hrMNcxFer{DMcvUC$lKMe))2;G7CASMMyvpe zeNPr0Eb2K{VFy2a%-y?-d8+gbB-S)B`0)nyHf%1RdX)Gy-u0q_pyvt?t1hg_v2@AK zFDSQ-!9HF`ZvGkW4>u{Qe;<0~zq;q_n^6APjXgu@HKnTZylu9T91R8`#>2+M)x_o? zakclH48~2h$b$0?*);PlLYWVs%}Qp>*{Ij zo>1$06M0_dj5l0tv+7Vag*b73ZFY_z7ToKJsY7$`+vra@BrrfW|GR3dXBYPDX|Drf ziw7(6=(6JMX)#(MsUjFIYm?hpZ^2{o7q1^Qd6Tkht=Yeneh=lpS%AXsg4U+{^ubEV!2PV%|BxS7D z;zc*bv;3wc`C#$^s>L#%`T}yPF2inrJ6gqv3B6)Fy88=w&h-vkIoB2y;Xkfd7+bOi zeHk2o4zfFjV1~$df_=_sb1DdJ-lg?a?dy;pqr^|UDc=k%^$?&wOEOKBdx&KdQeH-> zHi3Eq)V+`tJ%Jcx+Tq^6ws0NCP!JF!4%8=Ke1e3?hz3rKynM1r7 zH82s>WU1i+(~()d?Hj?E?hv{qUSTSlJXm(wTmL3zHl(m)+0qs5OlD(5UOeO_#2{aN zVgCyI@;?~Szls?0f&qulLZ*Vnyfzp6hc*Twj8MI1a8rT?AAEbXE!!QQLWooY26 z5Xp9WdqnP0s)j!yyT6`1I#F+D5Bt@H?{a`2)zSXESQGJ;eXJJfEB^;eEZS8il<^aV ztZET@m26P+bZl>@p@?2&2($r*r{GszjbF4bJe~XC-(>AT7wK7YEqQN09JIzw!d_Y* zKLxUnd`*;7G4uZPG@S5IYSq-3{B-4;4yoP#0rKvT%hg4tcf{bmjin%bZ2TGf{Z78WDkZUD5rE4F;9)?d>G|FJnfJD z2P#b;&b>h??zqmB?&8FE1$PTC-;QHdF_RTN_bCmD_37VLS^3b902%B1!yehBlhBKu z>AoN*HD}vECIr3W!uwj^i-=E|?Jx~-5>8aG%gX-YNtgo95bsljp;qQTB4H5Bq*DaI zGO>4H`2RmHA-$bq`%pp}t7-?zP_=WbcLN*zq`E|@Xr8Tk@}sfArq26G$b?ZU5`i|a z9LEfio_#X;) zp_%~y1QNavP>k~*I!1wy%|ng;k$^a7mnrkn7QjND{#p|bJcT7&1WMouiCcwErW1%4 zZA$S^+Azbrfcm#FehifIs4W0uW?XtNA#3m)lfuepv(is#6Nn^w7>%odMQy}i*{X`G zVKkVZq7<{D?~tkrnqvx>V*L2Ng|xd0MUmLz0^}p_sWbpzrw=%VMHujuveJ!X)b!Id z<-pvPm*cVM?Le+}AE&w?Df~dX5J2|!4p6@ zFQ$N`6M+)41q0hyaNmy(a;>cGQ=r;G6xTVcgonANxnm|mJ@|Ms3e}g8_Kg1N_X}QJ zys)zLi1LYhz*PT>!(oi$s?0}s3>G~I>bG840QU1BVz^~!El=Fdv%GXJw{{<=S8x6U z1@B~484W&FtbDpLYwiq@#h_KNrJ2u5#|MhLKD3|pzj8higrpdRf1n2F{Ss;0w3~(= zF*7N1B%HPP_Rg2De!-2Kz;J`#I4ebyRBGvAAwTk{)L|@R#C`AMpvf0GFdODbDGY?L zCXPYid%`sSFsOV9+HIb|n*%}K*!XhcOZc@7dyU1C&h#@{IQL_OpAPB6E`YHlHAssu&NR<`brx3)=#2zv37 zyijoysP_op6F6HymZqw$??;imsUrZB*A}EEOp>#zWHOq2*|sV3p?nf zNtgugS-xNxw_;2$88KKX*m{b2p49H0G#_I*QasRe`M`oP;Vf?IkoAe&{op}|^CX)4 z-9Stt{nL?aoGSywM^mT@1ux-8Yw#``W0iC`6RHx|@knbDC+ML-^p_OwKBn-4uCwQm zZmKIeL0W_Wr;5lKA^b&CNP{%zxlqy!6d-(aEMwbiD*jtRlr|c&lNXG6ew5vv4D0;M z!OCktQCs<)BL5~(;eb+FqNb?>=o*^c2jkYhB&684YLADEeF7vag*Bh-RJgZ4OYFjP zM)PsDMEi<~c2fJSwzswVD=YU1~D zH_G`2>z6MxoT)sujiVlwy+n1Q3ieq@m8-t3Qg>~4@EK4_vyk)m&<{HK<~f3GsU|lk zGZ_7CN~)wWI6pj(h%JC}oJ6Zzv8d zOH+J_JxB}99x^1`CS$rYRv={sg86GIhn}uq)iIatD}sC_mOny&>=8 zLCIN=k8Nvz&$!BSWw?a{3UJnd=v}NW-vGwGx-%-SE52&Xv@e)xi7#O?jt`e0cSJ@G zhbB3kzA5K(OVizCXp+*O@{?C6uN4|3-sOT=-!mgFKEMfL^3#=T)B={2aRG z*%5Kd*)s$6%o9Y0h|xS__$ETeyjtLU5*6_IiFNw(>0%&^j`-Q%9}QHS%*dq|h$crf z!K;B{$_)8kW|~JVkj=_Xk?vHva=}W0yExXhC)HhWj|D-GH8ndIxw+<3+?z>NZAd0f zQ>ojirBpHY+?=&_VW6#L)2lVI*5C7gXdZ1t0uE&f3AA4msne^2?fs_Vcv9f16R zPcX~SSUNfMlSKb2B+;Kx)ih_nvs8#QT1syI8$rcSt)>nXy2FeK=Dq&BcX*0__wcdsfC ztF9HA8#K9B&iJjS{T}u`WLaikskStBM-4u*> z+F-pPfm4z9S;zF6BPJ~4QhbIfa1Y@t@6h4Dp@?dv5lLJH%&eZzz@t@1=nJNZjlvz( zW^lNGxy=Q!Bh9yhu64IX`&s2{1R#UAtKLj8>o-b#3BN4@C#!sx}x1FtNvN{thrr3@?$4>C-a*{aJH4l;fdif=x` zJgxQaHRje(JF%Q3w?}Lt! zV;eq|B(nuGp48+VM-O5a(Q{UfddUO+TUk!nO{A0Jp9u6Sh^So~AqrgVG##5UZym+{ z_=OdCZZj`BZ9=y*RP-C7u`Vz`U(Q!rt7p}sR2#VqI_Xv-DaVYrf>$YE32~agYM4u4Oz3K`_Pn5FL<9m%_JMz#!=+i6$DwX zw$HbjVaAP&O#~i97-^_sP1RIqnh%yQ_BW-mnI*W$eSmk?>^?QY)pZaaWxBADtyvBj zx1gYxr{&jcUe_#7Grm>Z@>te+(+^TKWgD~+ZcD1Zq~Wn}>Kc|aXD;hSx&fo?bOsRz z{3W7=>dK(^CjWtcwbiA<+LeYHXp9a=gvl{My4xBu-nds4Ajga1SM*cU1E$Nvq$4dk zD5L}!Ax~doh3LOrd8$hF+7QMU|ADgKOSlcTPm3)VrzW9>=%c ze?VsQO~LX!9^k_Sqyq!7M~cwy{$b@hmB92#gNqsjY+TFEZ+foo1XZq+v?Vl^c6RKN5|1lP)e zV?XA+k<2(T$@N_up;S-gZulg587ZKxA3IHt1H$KlEwhh2xI5HKvbR=8F_CG5-Vsx! z=&+v!Ki`d%59f?77VyvH*1#MUzyM(p!z4r3on7Y=2q*0@POE0{btEtYzOUvQv%dn{$j;nS3QYe zk9fFQ#(&;UhPh^CdF<;Pi+g$pjWZ$av9_TLQTprg_3yf|@#VGzr2v@gjKOfu$R@-4 zZ(8G$b7Woecw6Z5)NYcnEFE}HL;#Oq)yo?Z^XFT%VnZ@|-jHW%{+lBG)M683{unXQ z#-;|28iqFJwcm!iru8|?a|iX#2hB!?3H_2T%DhFLLYc!iG6Z}aJZ+*YU5WP@$eSJ) z3b7}GdB)sF9DO1Quw>Vkh4(7piyREg!{o+Q_hWqGXtT6o>_s<$>&v{5XPnDN%`GGR ze-BsBCWI*oiZ|nwpv5W&8?M4>r;gu6wPWFh`&Pq;n$p5rjki`Aa%N}6mlvAhfxy`LWYnF_UphdNr+)W|iMevi<7($B>; z{&2C`p|DYO7Mlb@M~?dLdV7L9{-}Yz#YXf@F-|NGI+>41tHxM zdJ?IeEJlTycNS+6*7k4e>kSY`71^FwEjHFRIq=Y(Vqv^~s%ganbunikmy~UHV|h{R zw3B8K=DVio{rVHZsgewlH^VkLklwEfP%PSS*7DQIT=)5sx?JBjJ=&itbKK((TM98+ zDJUy5lCwrSUJb+JJMo`*{*QHp>hrUd)5l7@gO8Y>W21%Q=e?_0sRe4^Yl-srp@@{2 z!!oaTI+60v7EXWTVA3{wo5Osri!nkQ6!nrE?(Byaqnys?g_%R*iu{}x`N!_i$6B(> z8&qd!6O7w-QVNXa+l^@sxYKOrMY`go@NG*9Y}G$7Di$osRh#elRu9GXuecd ze!KjT;mBDKVz#4?ZzBI}U~3oT4btY+_qaZ5A9g0@yB&VY9n{Fb#_5=BelyOW2S~2a zxW^|QoO5jG!ke@m=Qj-~WUdq`&7uu;#9KzR!4e)QWWILpKhSn+-nHz=+4a$6*`aE~ zXle!FyU#;QL#2zEuqAU6@jqsn5Cr%{SE!r;_=1zGd=SO~JnRN2ywDm;rUoZ}g^o?5 zrxuF;Kz6EeR}g?7XYifjRUrZO{+7#ow!s*;zxgUKGFl5_RcO95x=I_6}S-$Q@0 zU}Q+?p5N`>@KHp1SM)|M6x7YH$E6=-kaejoeqE++=Qi9&;#*$#om+FE@IwuzpT_SB z!ULg~B0c>lYM%DVE^evD|WE*(#l%k)qgSSgiz((cWV zA=;MTY($7TTFKp*H~LT8;AlW+O2{6Uw;HbQZ0xHrh%bI_^PontMhKsFxW7fry{E5^q z6r0NjND^Lvv-$4>S;p)z#h5XJv_g+h7vfzfzjh?_X zE2-;3>+^l7J<`dPPH&akHW8FEBTX#?urjnJ1{UMg2}$gY;-S+XNip*;&)x0BHvrv@ zEzuggFguBr+V2~|@9;YFM`V=IiqX~j!9PH|B8AxG{RpmO9^miB{HIJWv7cGZ!YM^C z#&4B}mvUXe2^7V9(mS!Xv>SZ%-3g}Y-+uClTz+KzQ4{%b4v2~|^MBuVRbFzHGeQG! zC<$^S${akG`OI+zAaN?+QBad?-zJb~XU3p2vX(w-q zN4`Cnae0L4AkLeAc?$FhJi3w5vH~>$kVx{-A8;ter$|tyCv(P$+H>K;2y7el!NmAM z?Z3aEmO0O(!}(#h#kjTIoah|nw&G)crIScpz>l6f9A{vg>^IFPTu2U7Z5r`LBbDl; zi@*wB;Szi3rwMv&u5a7MY} z7@@Ih_BEl<{a+glATPsi!?!aAT){Oh@X6}q0PP~_>(7aBCpf}4YauH(=(M!bo#8r% zK&s`motJa{^R+nqU%CAlsfluy!r;Y5)5pK6Owqe-zzqN~Mt{5Iu5H%sH|3}qm^Cjzx^s5Wme`=70NlI(K+K2?g|K?{h$4 zg*5bNg084%(G`B`_4(-0XwWb31DDK_xkumzF60~8(dSZl7&uEBYp=63E(@MMhCGwz z5+;%Y(8}6-~lcc z@z+pQFhixns5}~q!w6Cj?kkdwYwKYEUra#yf;lqq-5+gx@69iZwU16mmW%rz1x-(j zIIaRuj&L69O;2;4i(D3Q`G+bQM$z2;NP7gz+)s-rM}$46$~#W({@<)7T(a0JW+RTo zE+}9&*68u~J%Au^bSGq2rpsLyueD+2KQb|Th88@&+54K6G?}l>&jQB*U?<9(tr$~FX&R^Yt#5H?p}Ebzk8;IATo%x zp=pBt_k@#~Dq=nWSUk7&8*n#de|`+d8)jB%T0@eN_Wq6=-r7AM z_198jWLZq!EXMR6kvlApc&ejv-4Rk@6YhyMOvSb*2c6U z=OOiBRO0rcz$%5rf<}Hx;h*p3OrGUg8h&|^c*ud+IEFJ7VF4L+H}l+`wn3t1sz_g8 zspzK{EPxTn4Gyo|musC~^!FGA#=zJo-DOP<59UR6DP6q`Lkt|7ET5=o(~!_RDkkbb zi+_3pPKu-*9eEWgFjL+S-N$~Qezwy*cB2v?1#~OFfuDFSfD6#8P%AqAS`qkSx-s&b zc%E^hz4Ld1;1s%3oyP8`kFy=u7ZYD3s)ZNtV}Pq_mU?5Asv@I!)mE?`!7={1)JZ6q zAtg+zPoygg9>o5GhIt0O#}f#MlhCRaSzGumVsSP2BO6NDX0#nr=N3lK}~#M z@sdde8Sn6W#zZ%KSHO8zv{CtrtAh$#%&I|;?4PL@Q2DnX`DZ|YEpCb6djBk@>KlW~ zYponLSrWOZ`1HNAQq$(lwg#e$;&KAG^HswwRJA#?T?;2?vjg#-fGugL$iU=w7SEa2 zA;qP8XW-A?2h^doir+YvGgI8#^4*2#do?V`+qky~tLS?TjO0^X$SKeBecH=P#~c4# z1|NgzNxfUu=9z9KE zr5f)KDtsKO7eDuP%?lCi|AAT~?KPaZECARW3F#32`gIAhRegq!-+|&2$}qRMg1@EA zhGGMy{kx$-#Xx>TOZ!1tZ^jyi$9rJ!xytWSLnm3+_aN8S(tZOjG&(5R8k)Vj*Kg!y zQvKUq2eNo@vIVcdZm@N#jVieR__i+HR6vF-D`D>XC`&hr>!jv8jp@oAysw3uLccJ> zf+^NFXriQxIo`Fwo)1fnXRnD_0u`z95w7{T{)laxBPzz8gf%3Nl{Ea5Afx8oaqB)% zOoOhA<)W}JAX|EZKATWDIB+5Ng^De3LK22ZqX$!K>z}*Q%R0Be zbae7j`#^PfA0%L-&3)?wf4p++kOt-s$kQDBSY^UPy}*BZ}9$?C@Ud*i74}L z%9;2Y!(|ykUhp`y5dKkNJ+g}0#U&66^l_%RUgw(I*TLYkFU1?HrE~`@XD=KEniP_e z_^<`mm8flS=2fcApfeQYU>c!6|z5qgMZ%}e>)fFo}jx&s}V=HsY|DBd=?JJo>Ql z9&`_!j|Zdw~Vi@b{3h`$x88DdqqshE1D?7qgW8`F4nigiF}aFo1dRI9DqsB!sI)p z$>?x*Q+E@E%gLD&cV(-PUlz|s=;x}|qnbdLHDe7_eiNHz%2o@8_D3cQ?4}~(`4Q;f zyw2n?P&2uP@(2fpRw9ER%dgd{L+J-c(LD!FpvC#|KW6x6LbaO141FeTtUMB=aBPjj z)&y6UW7&1P3>*xSDUytO8GPAaFC^gf$)nOf;!w-DAL;qUEb+BQ_LT9*G%0{;nauWMLWwZ}kN(syqpDHG(=q%H5pAO@LT4_YJ z*%eDF0<81r#_s1TcArZgrn1L^B&0w=+8o#ptB)rs^_7*kz0$56tiB|LeYRVl7#`-j zcFC@p>OF^r%j?QeKFb+&DS0Y>_^pq8k-O&pkQ=47dzBMbGmYh_io>iRYBqA4fa|OG zgKXlcrl6l{qZMU+f{KV#L{*;pjgZN@Xp(*q;Xf0mse4qmD zt6C44y8Iw5-98lCu=Z@X`f0pAgDH6Q%K)ZhVE*Y`H2yNdOv&D`S*@z{DH^!9%}f8poyP+7+K z`HiF(;B4P2`yG!5-vk1SS1D%jH+(i!WG_Se0?#>H)sTbD`@GEJGH}Sa$DDP zUI?LYh2HAS(j{1dEK|aBuk-b_VCq#!)QPhC{V&Bno&jcY=rN+`S`wG(G*V`_riFt%iH7|HW0#CKWu5wj?js&F^2( zxA?G4Vsi=GxxtN)v0DH=OiquP^&)(Ljw*V3B3POKVqc25wOPCdr4y8gpkJJ&-lHCB z*I$Op>QM9PjPi6A4q=ZbV+~U<<;*m^cs`WK3#Pb|ovzKJ|607bmURh)@pVIq!Z+%$ zcJ$8W2y7h{Rb#RK$I(>=H1)pi0Sbs92398f8|R$oxvy*pxv`Inm;DkT!^rA9Tyt^BpB)kxWD@w4 z(-=P0!Z9Ldz3=}^WM^Kc$ZF*6CkDp+r;Yu3Ux*G3bZ-^eNsA2hf8#9G#EBd4Q~1*< z^~cjO;>tSncGB(wf&BFBF^BQv9>sc7t4je+Vro94wd|1X$6($P5U#ZHqn&g(8@h-) zMB9y#yOI43!1J`%Z*rg`m|DDyxR;<>wBo}G!sQ^odtOHU*OP%9$>`juxd<*D68K0C zb-5a;rtDc!kzt;CFeq7hJzQerW-@LPPX#gKki9k_K11~>ofp~iAI2Jt(x31CU zyev1yX-bUe$Xos8d>aU|TAsi9pA>VgZ^> z?jJ#!sJCL}!f61G+HipI0+wUAlQ#({;|+oeF3*w2%0T!CNit$2+9QJuo#m4#hmJQO zPK9^JQX>^6G%;+gC5Of8=e!0n{i> z_hsNY-cbT{;_WSOnD?b4Xw=o{+9raHTDCAXRY$-_E70{C&|xbnPV(EGE*RgDA)79L z?8>J2g@{9n+=8*8`16*;e_L!osmdM;(=+v9z>7Fc83cs-%fm?DFPE$7dGba#^n$c3 zv+ll-Z|LP(le<9P(Kezhj@wLX1u4`^p#MAwel|(OFHM66o*xUw=4}?BY#7b5*jK?` zi7MpX+dGBIyC#Ec$#5F-%wN2P7Li#=^%9hszW||kBqGa2l9*d;LMP3ton}-lLVz)B zhqAF0byb)yLG%{YAO59V7Q$Ch|DaDlTSB|vn=k%)6G44FgoG_HBHvYI22W7d4liJv zb>j}md=7!7k4-+>`^krJ&MeSgd<&XR@q9~KQMSeP>bV*uf;QD>EqlkOX%#*>Mpmlt zLj&98{%nT-#`j_FBKw|-RSd_^@}L)UlP7*({%8uLJTSIOY`Fm#c^Rz6ETIVet6HeV zha2}JR^mE-8u8ycFcy?Qe#eoj#A?4B@9}Qnbe&`j*rVE6?fMM#WN_xKpPI8=kH>=Q zu0NCmIiu_D<%Tw~Ag^~2U8(d~kf_?6w1GtgN#Ylh`dT$j?$|VrbiF8`z`a`cUHPzh z=k*0D%B^rg42E>#UEY_&{B8qceP8?eGYrsC6><8*u^alX((?ya^P3WK zalvXq+EXOzY_K9#_b5FQ6?vg6M%9g7f3<&=Wk#~KFW%iWQVfi!(+_W=W$-Q)=(dM0 zW-=jHZ);R$a)CB9g5*B?ZK4;qNsuHc855$dYmY8nHZrr;hP;);(gtOM1G!!h3} zDyKpv1{ib21#Z~g57mJrA0wZ3^(u{=p)^oo%Ak33fqec}O>`;ti*TidZp)Y`Af2Pj zaM;zi-a@BGFx{uHqBH{f0YMTJ)Ml;{28vi!0!#%gMv+=%5}-K? z(YY^_W5kAf{jD@a>+g<7eRd3MX8%Hu$6t{+N~;jwo(6}_eXfy5!qyp~_-hGA(tH0v z_PA(?pLwP2N#&TYOa2o=(8CF1z38xcokqZKrK=X{b1U;7r~&#|_X@g|MKBqIy?K3s z25eK~1#+bM^EyqyQSTCN`@hLWEa?BrdIqq2Fur9rw#gf(0O;l3#K(`d?sNA2_!~(T z*#+tY7^Wuk%mRpRUS*h~))iWTb&z2vK1SE30$JFD?Z?Z+hQ2Se5hkt7CBd_&?C`i}s@!7l-n1!c%}e zmkG3jd;a}mhn@YtK3S`eW3!zN2eDG{1;<$BRI9r6kx-#Tf0iT1U62^1PI(H%YlO_$ z+Ar)OBfU(F@fbV<2EjwiHTs-ydw~xazs@jkaDw`y%nu&FYO~akv$xC%C=Y3uP-dbH zYnWpUl2ypT^9w1r>7Rv_4qe79Y2utB9~Q(nsGHLxDV6Y4n(ozMYo<@*A2Ks`7IP*V zavxG8EL`;JZzw91*rvif5al3szLZ;VQhJ3igqnKh_y^5l%NLC@Uv0j~m&VLbP>;ay zFRt=T)t*Iv@r00}BgFA|CmsbBLk*y(`^Bfc8RCWbso{8{Yg6JXGJaEJOH;HDO?=rx z1hnh>y^6a^>RLYq$x!a0%+-X&Nny^Z$I|JZ%4w(fZ&4?adXnmi$DXYpZN8UtyntN- zK|t1#Y$A2tGV{Hf7k>NJBMOjj_tfA3Ya6br%l5f|7o!2Bdy&)Qc&(@(-pH2rk!e%i>0V#1s{g$mRIqesI%(vv2(h@)9w7FEL-s1@s^Ma^mYyW_M0Ba( z?M4S;A0S4@lvj1%`3j_q@vs(jfd`V!vkr3o3Wq#yuUi|}Sy6QyPgJ3PQx;Nj_#zE- z<)dS#sB@p4K^I>kgk9Y@(H8V6i$WT+o78E+AZm86{i***w-1Fg`yW5#;qyQ4!hmz> zj56I;6E)VEY0b7&I!We=@6!Ebv`@bqtuM#Iib+ylzpoGZp(-|IF7)~ z+$z^}jgTK2bP`QblVJt1y)T^`aDB8_&olfGkz$xaaY4pPzH_LfTIN!et|_>s`xANV zzBf699BMc=UhwP0$x9-k^^;N0`QR|8vI(kjy;?{taK!;+ECI}54>JP+qmUy^D>;K4 zBO$CMy>1ilH5DOS`5*)wA5Pa3Mn>fT!`Bi}kzQ=#R zD2JywS(7!i`|{)s>_M@Gf{08xF`_LMx+KYxy#Gd5)08I@_xreWt+4rL{iLhWv|)Yh z-$inMnqo#}mZ+%S7gOh)<27Y*)4D_M*%y^Ct)b(OiMC^By3|HhKP}<==IH`jGj~qb z@pHGlmTR7izQpRGWjIX4U#T}IMhIk*j8Xbll7Bo@Yu^vxCONBg#(zEkbk0ih{6%DB zw*APttjVj7iDU|G;{963pTad)d3Ku>+&E9<9Jp!5n8&>W0v{eopJ0fJ)P;VAwh}2| zasqnAis)V4J=Pu6oNOHbOMCW_BN4Q6)~h5JY(j4FKgi@ZjR8q%7W7)>A86XlqjjRb z@{HEKr2Ddc$-%AtNVxKhhN!AkFY$pj^T3RN_RZDHK1-*eh%jXa)|f+!Y%6^syvaWk{KR>96C#f?Gg8sP7KCge8=8sE;w@@GP z`~^TI#TufAlt8YcQ+yFndI|NXX4c2(CSC8-5E(gI|0VD{J_`NG*Oy%RG_#JBGzc15 zv9zl(;r7H=N|7s(=l;R6XXFdaro2+eb+}*uj?F4g*Csvk_rY-~?cV(7-MreFUCoMq z)S~3>0*&MH_mu-o!>9yN?@(xlMc5aw*LA8O4jM6^rcFafRxpapnWY8zyyzi3qlqCXWO z2aa~~;O-e_lX^BGxbn^>a6XX~qRbk$i#pbill0h^P1R1}w@Y+*HOjWO4i3*bBW{F8 z&wcP`gQDNH>i$soyfL@E%FT2mf%ZnhQT}twG3Awhj6g%O{HxzO$Dr>j0UX>>=ffZ` zHu-60>!S*UmjroAd3P_DoI9)kWv>cVljhrkr)Lv$y%)vlA)o2J`4OMii}Oe zpITbXnmYx*%PV-^jJg*jBwqy?mDDzi`c?fS!&NKd%L%T_Co*TB<7jkM_f9ioV0608 ziO53yc>Qk@pAL3!@#}zLzi`Uzf$@szky!4OHOQ}t0$5j&e7?k61{O+B8LiHmY(#w*iVfDt-!OJDA&OhC+veLy*W%Z2(vU(Y9mig zDJNO^0;K+!vsqn}g9|VSyexlVamp1U7WTUALNhie?wIb5YzturV`dY54R=IiroX^1#Vx8s}Obwex>4^(J$r~TE4#I zqollvt*|vSnV}KwTr2O4{?YtK+V1xH%^&qo4l*EOGMbJmS5X1m%^|IJ9TFox$e)!M z=#_JCKuF$M`ttGBeO86hjbY8g+>B)CrOEP%@e3}aFIRSMsi7f16s-fdVN6vNK`DFZ zM#Foc!P07~AH{${bE9ku9KO*iIDg(jsQF;*AUasvwi`BOkxmnI=ccSSfbNWhmTGkA zQwUhTXI?A9=-uGv>lecQ@B4^k}QL? zeJk7a`gHDdHV^MqT=v&<=&%1oR>NZ=xYMxE18O{J_FbDvSQ{*uYnTrv?vggGx*Z zs@wZlV`S*!qaQ{Y_uIlajq%R*&$jr)5h__%C_l}QnhfER5^@_0>X!izg~x~K9-O6g zU`j=Byi0~Gt7Ti6p>NAn;lc(DI>8#Sz8ZEce2rX<-nfBdtPYkdPjo0RBXd5iz;%x* zFrmH|dY^l#$sD?*3Po3{AN!b*ZD~7P_dro}jC-nKaudfLTrGLjanqUjjf84jd2YY;cvue#uF_f9;R~F%B2>1p(Dw???8Oe@s|qmMlf=u z=e=M9k)4i1jo^^+8MZTDu82nplzucRqAQ85+lM*Fx~{=v#3_!$5?Nx7_od54&}SAp z$4WgA7=`=cR8;D?WtIVa!X^9#Xdw~-lyH*fY-7?Hz-ej+v0@Wy?T0eJcKO!hw@sOS z-%?J774aJl0O|d{O!NDWQ_@^K$4)Aqm$D()SaV=t$J{s&@%*EFWdN+H9TPi@=nob! z=R*UdLLfDO5NGBXIb^N5D?W7s^wG;uv(XdUT(4sl#`~6PkYmJ_j>FfO5hszE4$_v= zt+iJ-_^+>UQyu>*FR9kN8C2athol6LcrD(G9_^A?PfxF1%J=hvGrG;s{`<< zBoKgjpjR!5q;^A?O{{Jl0>fRz=?kG2lY&0ct>)xyQEQRQ({?j=$X^bHgg?a(cInyV zf57D*zq@V+quwpxRCd=p9|Ea8KDgcC`udUru1wa}QFtZdLm1*9f?sH>H{jIoKVXaI zlCv4l1VU$<17&eJrDUPVwc9An@{R%)wmcs-G-wc^d(S(sjF@&hZ$%ja>N6`*T=z;d1x0IDRIR(9j!3TNLP{J~0`Vs#6!ActZsJZ`dh%PDIWZ zAAZ~T!Wso4$+#cc9 z!@gc^R*)Fe4O9<$O+vV0pq((^zIM-xFn?*Oa*#|(2y?#p<&O^h*3~d3146s*IU7(L zYsS7&qt9Whal=d%^vmQsD)=HvZ_6En%ft!r}0(7B15Kp)i?O1*_x9@+E!>oE|I3~HwnwXZ}~aBZAv z6AkhK8b26NeR2k2+bjkkxf7l~Iiqu+qVcg9#4&%9uuntjuNd?ZFX^$R#;4T2L(f6T zs%2-HJR_>iYpaQPG!kq)@E77p5+7{xP~>fOmd>O2Sg%`KG%QJ?KzGd8*`fAj#IV3lU_z6%&-sqB5~PHh1+!q3r^Erb(Ax?K2XHQyeUb&j z3u088K=m?VXf^|bm-;Tn<5&oHUs7zwF#Ln zWTReHQb@kkED-NdQ2+W@jy7w4xnp~vd&@X^w4p-z;q%l`0U&juxAXe+wJsK}^U7AY zq0Tp6;FH;w?#!sCeDeG)rX$!RtlL?0x>Si5C&%}SXcM^KEr9gp|B^Q1tr0(Vg_;)V zPsFeWvK9k@CiR#Nj?EFpOxB&{CUrwG5qoa=@STf)pmXD=C+`nF{rCrRnBRRt_w=sc zMt`l{$-2UuEicyaFNabF1f#*`x+#FApDrEO_@tzs{NkR*`Pa=?|6SE>!7HaCsoK;` zuacF4e8;jgmU2CG)Fjcl#4yJ$HS+bdKxkJJ?88}Fb+y5zQ2xF& zWWz%_FP2()xuo#c64SU~C?vnXaMtx{xYN2}{qIn}{14}u(cKEX#VDxW@K(@Fc=9PLCMFj zysr!DmEpZ8d#qk7!*fRamDH`p?Eb4?%lxX3@3nf%0FkY|FBS4sEjuoXTwg1zb`(YJ zs8PLo0esjo`WLt9i9eKDNSPcIyf76Vq>5D$Axbt0H`0)yEs4r|ROf_(G<;im(OCrY zGS#uYscDwEsW~8HEq_1PeP8^S*|;Jow)gCnL}zjSz_Td2?(TbKd1={G6=IEbTB@-D3inCweHGfV<=%Kaa69O)4Dr{$CVL?PDOWdfK;3Vsp z$ut{P@3ofs=zrOMPp)#7gcAR3WhyH$yEo&(?EK7-m*D!ie3Jq%GgYf6Iao-RbyQLc zEV@^J%{{-LH+Mi9XvbiGUg5Il@ZRtBgO`pa`9b8qC853VO=rG0dy05^s<#HEjeZXG zqhDwz3UT{zfZ68z@3_5(C!FvVaKdv?|4u6uCtDMak!&ZwqPzaVEJ+rWX8QI?N`ajx z5yQaslwe_6DA$B`=LM{eYHDOCI*D}7a{7?cw<4;Szvrr-v{)=Kdt0_{R(KXZrgHOHhqwqpXGCvBja^SN+e<&{B_wZsAM0vfKba6xUT@yafD?CZH@5%0r`F&$_?To(NL*`pi6bf23&S%3V zqa$fb1iuCmei!eL4~aitXBQmA$g-`3n2todxRorZ)BL98`p}He0--HG9KH_(+7W|y zD%W4}cVx=czHL&lMrFBPi(O;C$-Qn6j@h`y^p{ zpq}Ga(okX%JEqoxFgQMQ#rxg5mj=!>*uYC7E%5Qj!2M#6lC$qoK5Lp;tAs@fxE%SW zM{BFuw^Vp|-Z@Q1-V=Cvcj)ZMc7Lct=?w*`vxQTWaVA@s#frOQK(Wcdt-)q8d{Q!^ zjLGtqVwXXsV$;C)Pv}h%4bsJRcAuHjqBb9M=v>wse)IEny=am5o&AMKZG7!__-eh! zWxfi|oZw4TaQ*zjya&_Yr(I>5zigc>?XyY9WS9I&uv4f%OC{hruQg^~|AJo(^IV&A z$!CzyVs31iT#a9*CGB@T9D`v)w2(W{-)NZoYqoSL_yob6Xzal*VJf_3?Dm!K9kQjc}_0VY%h7a4xR_ zKfAIIRIr|JW;U(wDZ243AM9IkPQC~U z4}+YM_G*LV@jY89o`0dr=qiMDod9K)7$#phXCd)}??Jii(9L}jyZ~Ng5NQNXNLUanrr1q_zm$EKTr}`>ByMW;_ zV^rRq5sKskbz1Pnd8+r6xcH-#(9=;e$Ka7kRHE z&!sf{-6zW@oC}v%MnoH{;3dV+69H36V@F9UBU1?n=c(3z++;;pJ6eD53@E_zz9>?x z_!TqKd?*nh8#2lKPwbx8(sy@IQpdhEA4dl_$K4@g9+#6$NaT>I|7QS+_<9^au(f-d z)h>#k5Wz5lf=vW(xlP!N4(AU41HqX_RG&A7`#VZIOxm<`nLnVR$A#DKggfxzkUBb`DJ6Wv`y=lN!w!#T`bFlLg@)8mJne~;V_l&Jh6ZC9K z6gO;?R_z@%uKVf}MicP6hOerx7vUTkO|BY~f{{h3Cl1rGpAcvNwMNiBRxr5b5bLz7 zC(%6>K})@(={>9Z+Syx}GkAi<9%zlQGxVg=vt75zqX!uuiSaOM{eoON%vuq&oaGZK z@O*n-K{|H2^O@jq^1|AN%#Hc^4J4^Gw=m(CFyTFKRpAXV;J>0ip?$YO*?j3{oeL?U z4J~^u5K#o(R7skNSt(&Da&No(VgQVu9#4pF!kj1j|G(t zGkRFm))=`0Or#YZjfaN?;?0>vkS#ib{oYc|Du3|AZ=0k(9->>Ldi3|?CqkPPnm}Ky zWww9wb`k8i_h3v>_RQi+^u}h5`px{6;|VX}J<@}h?%>n!xtaMMwIGk)wS7})a|qA1 zX!%`_PZnq3zvqm=`4tx7T;TJ1zON#a+uZ*u58#3g_*u`uv@ObP;=zXm0`)0vxNzL{ zOdp0BZ)=R_AL>Ago*_*k{@{2s4Bn0o*bumWJbO}J)W<7&GsNt3W(vNM4D4Iu4XAG= zvYp3ZyWPVHx!{#OK=Y^{m*sVHL8+JuyO3P9wq-kO^Wj;Gs5(?x>q}X5MG*dhsE zdS5Xdxp4vV+Kw7dOQ*HKc^{x8q&5xql$K@9i!u<#8|n#D#v3B7pL*O@hMtTs+X;ikZOQJH=(mA_e?^;xwl@ zcY=TqEfTQbe{WNmvb&j1L0}mHFkypNREZgltu#uNZ47rV$htt{9h`?zy+k(i&eI>i zA6`xB!n~J*9iR!yYIDThS!?eUnwf0n^9nO)8fZWxVUC?eHnF5!)F>fp6lkJagEU!< zXNhN7>29nDZ<{^$e@YK+V&R-;n`uVI0{|-!I!3ZR%r~#k{oLkHcz3r&>me>==Th+O zbCnX~EL)8STkVW_sPOUAr90IpWO#`;?S(#;>90vWmch<#?}ZH_st8|*HdQ-!vOKt} z&FW@DStksl{DLG3sIT6Ah2^=p53RPx>{m7QR*)YG6cD0_BNT&P+IdUmKeCWgWc^cl ze4)*_IeN)qi|5~A*1xxX!5)^XTN4NFNscE3mhG^S(vm`Mvnx>auX=ns&=7P^N(6-J z?a0B3_uVTC1yQi#Zt#uhW8147igSD>M0Q7QVuYvqLFda*?xSB8Jj1DSrHtv-80uYh6p}ULV@X-D`OE0|H=B_W zR3!ILWOir9^JH=Qtt1t4M7ZMhWJF&C^=iifY@mPz9(tp2+@C2_&z1rVuV$ zMETweoh3`~ZOg>*5GfvED!idx$$EE2gN*_^Fs%#(K9KSR;r2^K$vC}_S}8ZCO^Hj8 z=tsdp30$xk$$=~Q#xAg!2`@r>Q~PTT|I|RZU%qUw*?V|sOK0%AJI7WASZUH@Lh+@7 z(L)_mUW-@np-Tl{&9*=xXWYdd7}_*^u1JtKF|LJ|qm4}Knhw)pP1lGR&enQx!2TuC z;RV?wC=kaxj-~G!_eJA=v!z&R0FRSrMP3V62UJf~7dLh21BN08I4WOv6%c+^%fFDw zpQO9335@k%%xksI)m-9*P1c745AfTUfb`J3EXjPmSIrwwUZQ_xydfg*+JF<*1a7tI%Tj&Wf=e z_u(71Nh@mbL>u|@Suv8 zJj`?}SqWJz$iB6OE`7(vp3;9zcro#DO?WPTRAOa0X=UqbtS2fF?-8W7#4* z&3{j{?G%25`6^2zvJgO^0ok6>6e}xC1j#7Yl*(|Ajoqp`=DuuuYu+ZLYvlO#m6-OY zNdbUVb$G+@!>X9`%qYNNnKqy78VhfbgmjEJiRN5l`Hb!h$w{`mkj! zxW%8Cv^SUf?mt&P@U;N(sZJ9dv}vr1@dfIv_?m>A+YfoUkG`X;l%;No46Z+CdQKUx zd~%%Q`+ZT~w61w7Tjz$;#>8mjqCzwx*zeHdI#!*9SkqqPn-YIGlDD=~yn^icv5I;;u2%g#6Tu`o*x6ZTY)-77 zMRPd%Yo?pzyr}=(kJ;}Jo^u%Dc#uR`iU`s5rt#IL*r|}`4@c%Xh}o4nF{z1s8;dcB zyGa)YNs#+55VN8!$J&xVH92(~ttzw-hQ`ZE=wBKv#&;Q~?mK2hWLj9NJdR>MWnkd` z2l}JaSU>J|zje~!d47p6L)n~lHr0EpuskX_R4{StxO95%dBAatv|%-eE&D^cFrJ1z z*|TAZewO!htSMYa&ux>Oc_j9kT#`Yti>OX{DclTe;I4(4!H@dS_rqq6&q!j6P)>9g z*WIrpHdi~1dJ{qHzTjxlm*qkq^MguHXXg*%Hv+hnpm5s42TYScH5gyw*VpYr{R~#%>S}j)zjSa`m0|d!cWp;Iydr>XtE~PW^;p`F@0&HK8B6(tvO`gCPl6ea|$De zT2nuR>es*5&0x^voKyP)Q5p^P+SlARQb4RtRS8_u$wg&{MVlepRzJVAzTE-%cn@9riG5n}?d#1zc3!ik+o( zYeSbre630e_S>08H@rCwy8Gb2PYGJYR;%ivJ{=Ieqs5nV`8YgB*9GDB#6eB=+V$?I z3|F736f@h8raHJ%A{iN0GH!{(2UszT{#eRMA5g5G?4GVUlVKa5B-(|k)7Prbt?OiQz_T<@glQOXWT?nfyKr zojG@ujbN?(blo3L4Rp{u({~Rd?qGiAeVEohrnjCrr*cqwKlOL%rCUYImgquIL=1^6 zNum6EUV>ul&q+o0K-1Z$^^V`&S+i|p;9K?z_XA1V-&)6dlx&%3XRBmQp9SrOsuphl z_&XibcXGNlYhn)_vS4;3EL5{#pAqOfKjM`?5V zB_W;7rrBT1a>a}Ip14DW7Yk@J06+yBKp%SI}LbBojAsGQp@Bw-<0v&jv*0{122Vg#g%?T>>pN?nyWpU@@P5#9z_2dF>b`@9SNX5bKj- z-SwITGp~8UQ{PfMmY9G;1S@f5o`hPo*HpZrr>xJ>KTtO};VrN}5hZ^l3>$(!S~GCx zN_(IKfIa1|BSxZQ9kyy2__}BY9B|nJELlt&IH#k%`O%{O)i9}$Ph0-lh0E=~tSXpO z`-9IT^^nw**!@Dm!g_nE%pSUQKFtd;5paU%wL&sind7vE^`gCLGBtaIazDoU^D(fg z_V`p#byYv);8E+pCF5^9S{rm;m+i-^`ItEsYw5{)yp&A#V_W$t)_p=L_On!tRK)`5 zmK}!e3$WWK=;X;w4liF9PW;+WZs^-fj@n~Bs*vs?Je{nW{CPCI|E(4|DXqzpd|zAY zk$faT?pHJcAlIS=0ZsQ0WXxfCm5?xk#E`K~X8sx^lt3b`r~DFd6S~2N>2xEV2DZ0f z+|vvBvvb$=b;d5%lr^Rz>+K(b1GiaJ!%{*Mok!Zh<4D#%QmV(<;^u*Iga@_LkJL;j z7Pg>{N`Ix@24Cy`Nye8H!^T(}x9~R8YT=KaFsoA|WJbSUl-b1^^UVLTi+IA2X6r*Cv0Y(qs*k@T>TjnMLmbMdIF*iRztk=XJEMe2&sx~ z_xcB7A9{UJ_=RApN+KTldjf34r!qNdc!CC-mQY)OjGVf| zQl?4WO*QI?{{@P8&F|udjcf09z7JdYgAkmR8WYWO&2#v#1>ZA@X;dQk7CPKmd2uZ^ ze+hqwaNiZvT<@)?C=GXAPetsgHWD-o(Jhz%vGRv7!hBSJ7OO4sfDzP)sK1NpOvN|r)!Z@S zT#!KfXgez&7QSS1-KTy^d#;_M&0W=nC!;|JgdK#}bX@80(cityf2-@F`SED_k3OY7 zp#Hl?LVv#yF5Ec*L@iZyumPf{MU-`UrN zc*S0rD^j*AT9;AdDCocgS>?i=A*+NkqZ*$B7MO|-HRoC?c7>y3?L_|i>{a+@%*u{8;?msmis`H0N`n9LMz&`2GkJV& z^;Lvp1Iv%i2!5lj=Pe%y*LOG|vd>x-ktY5>lf2b;RXYlmXzufMTWZJ~>$c<}(uk{G zlb|z*QBo(=tIkf*{kA%GA*vFwsY5BLwqqnxE zyjB9zPvR$ffxBR#gkz!tQJ{-8^sFLvTrt6(u|CspbmPZAvBmSPD^gEwb;Rj6!3qQk zG!=BBWXR2!E31rbmBSE<%z^;5|iR9OPz(KT3;)d7&0 zs+TmlpNniARPOTnR-pHM=2@J#I%8)K{>Bv?KM5uK;)lMHY`*r2hjEo=H34t8k@4 zMUoIwX%r72*RoKVK0=+Ba?0QwN2u6$wBvb5-+vh9lDVFMlYtW?^7K(4A@5m*93y{4 z!0R$`J?4pY$T?QJGxk4i4cIqtF@XU?6JEBXM6}{{Rsiwl4Hg-#aFX*5LXx}}lRt7~ zTkPW{=Ti$4fpujdX+W)_`|3J3{pXwofNhoaiF~q5NpdD? z7zGX!dYYnpyZH8%Ilt?_UK?b`)QOtiS=n~EI!Q~%HV?ZRK3_k!MUfZh0cbZEsN&86~6WKt=VLYsfi(3BIF%X zM_fupD`d!y3uYJ457LU~t%h8mRKxhxd`Wevlf9+yQ(Ma$nRf@Lh*{%v^GPnfRg*L? zjdQ|DYjpw-ytFq?ry?4McR5~Kc3zPG1C9H~|9m38#qCeTk=#cr;(Q)&27aSoQ+%U_ zHkY%z7=5D;Q?Y2%+L)>L2|#FO-Y7>@nRcTW;YcX!af8to76q5zxbgp z-v79}k2p7zEQzc>_++(o*sH9gymV2OMC{Ig_O21s+?7^ljjx=hriKoSl`Lk$x88K#it=tIITf}3$l@91(%g7dk>Eoyzu+V_N@4%OE;r(`Ym4}6{ZaTw z*CD~Y%=8mKvsg1VvdQ~CN(Vt?iOAT|9{Qn&-@U_14Gh&t7yDVv3g!ZZRbPK_3w8b4 z)Sj}uPr8w8-bt48Xk2L}a;m}#csfsKPzjD?b55kDa*T3_Di$2bmP~ZheMSwTI;M|a z{?bUHX?nwasu0eAvT2-OIC)Ku4WBnZZefzwv8fghKaP4^xAG8qo!aXbYJRkthI9!K z-H~dydAUEHq}HF}lccFYP?&z@akQme-Eg{p59RU1yP{qg${;8Ea%$JVD}Rb`HE7dh6vb0X%iiyec&BnE5PO!)%K}PlHw6)pyrQcerxa zBV}&IxMUQYn`}NqU zO=*_@WDL8(CuTRH@Ja)D@(1WCN@jG%t%V%kA7ZT* zdC--VuZZqcIcX~@LYW>5ci-S)rg;Q6i(g!MrvIhAadn4yr7MJ(u3NabQ%5m(bDea# zSlu?)NVb-wWF}!e;1`jxgs}vU?3xpP)lS+rrxl$%`3F*s_uyQ4baHIDBr>hwu&R5s zc%Q{tggbxr)3c0(w^~v{@zo7NVKagIEqur_Twl0af7a>h>b}}+q(mR$-^j4|>qK;BnmOR; zv{!6VU~^<9dOq?3HQ0~+;~hD@gpKR3V|rD7j*o#;EU9m*F!!y_ykTLHqFFiPs#Xv` ze$*TO_z%Z~Z!5QM)?Xc5w3Qo4iEVT2;_<@L<72vysJZ@cL$rSi4<%Cq>tuQB;n^J1 zs5hbf6D^u6xxv~ucvADVEOC}^yd3X9N#5h#ZR1hmQch3Kk1K32w7Eb$%THoTHq0jeyUWmPP437M~ z&=NoJiPO6${cgklN@RTAf1`j`?K*d(y$g<2e>d*2Hu>Z+2iQUA`>n|8v=RC90#b!# zdda&%b5k&C%g>lMn3xlRHhufsA?(TOC)IOqchDtQ&AuX!6I9IQf<8;9EFlgqBbS_W4Bs^e zlSx_%Q)2^O{g@WqtV!R{q!Mh7z`T8x3xVBp8S$G%H8q$za-Srd_+JSTM<#U3Tiye9uGSRGdMHD#iC!bsaO_Bj^jX?|N${hrB3`_&@Bn?A1RJz3J2RbLFkE%mocF8Jd%>`+DB$MOqW>Q#Iu(Cavv>*IbDq zAG3+tw%>8uO+%0A4XaWvPH6HNrLBLj#4zwToF;{=o4Fyawgmz9c_nLp-{r}m58L^@ z39>;>2Tt3fdW$0>&mP4&R_LLcHeIu;`?;1TlE0E^BaLP z5%7}u2a;f~v1~iz@Wd>z)owM>C4{Uo{{#JW5~;>5D>UF2RBlFsiS{R_>ztY-EEKcv zWjjl&5W6kb-_AVw9X2>v@M=Wu@2}TC^xiX?7f{7GCWK1m$b%!Lj$Z!zNda8l3iD_I55WHs=zsUNeApKZU1aRO*g@yq|_6S3zP6}MmV zg|i@>&)|vF33^lD>j2}cbji*3{>0_gxl*|&*2sJT<#Q6ihWcVvHpTewW<}mV&{nyb zl=B_@Dx>7{Z%RAh>w>F`G{NRc7J|%LS?S*SY=7Ir#Be@!BNTtet2oRZ)V~5G^E%wu z3mb#Uy%|t~XaWJ@*14p%^~s86gMfSwqe*~SAVK+_ePY35;J z^6~XQU&sFN=br*D<6!Pi0D?2e#tN!dX1#d%fSBRe3x0$v;RWy-&g!CS;;*Wa)-~chf*S7xVIhthn^~yP_hcd&W znyS1}p#j7yf0`4<=k{o|COw?JwymkDF0g#lyzWWe%St|3hJM=Z5OM8#9ALD*r)jGp z#}o*bUezEQ!cKs!Rb}o)F%riHsB`Zq#pJd10D412-B5(00BebN}_aRRG98pVOsMjE> zAPJK9MdjR&r+*wc4d<-0IcbbKn-Ud}-Yms?A=ifeIs@|F`EfOVl@bRNr-ozKa?~b@uOM@Ef@qvxaH4^d{?5_gcPJf&fsT}unv!c;>TNMKB&hvot zg15=%MQ;>3aC8&Zk)^}f`AY2M!%*64h5oYSbKnl$EBgcIBQNL+e;sT}GDzL2Izn0o z#<;_JxuG6fPWh7za&oZ(vWil>KcErxg$t@C08qjsqsfpluRho(G?*5 zFI&FZfp)dBoRPIsWXgW)^cl6qLRNcjCakLf6(}lWtp%T z|FBw_UX_mdh$A3woT1pPENFBdBRoB3qkReZUn%j|@JH~xodB{0z3(2)cdb_8bNY9I z_m-g1eh(*vIHgcIPa8e2DBc2HPc&6PobGK&<&hrsIBbl&!B*ZNHk}v3>Bnrq&EwzI zpgVvd!(yg`gyzG8E5o;pJNZtI;kt5T!ETYTuJ5Sw7U$6Bz*H7DaiB;YeSJWZ_ul@EsJEIzEzUD^ zyjX4t?OA5B#fu2cM^Q2b+{-zxW0w6U_Ux2~n&DK4mFF-+O3l_H!S;IJy2_)O7Gx#E*s$|mTB z9RrqVSJC+%=>w(0Ek>`>3C@QiT-Hr+5{^Qi;<%WwF9d3DxPfR@KE)86Q0_$KEN7!1 zRU<{VVfAjoX!#-==EdUVN5D3AXs(+?(O4JR2m@_W*e8M;l_VO7kU|qtWi*pP5g&DXY2vvMcFt}_qofwb}vdUhted0Tk z>M$At?3v@{b2^FF!tjMCpjU|QqAhGrW48}nl(o6^#PDZ86yepm(AT^#p2oU@rG-Wc z%5H?+(Rq2E#}UX`9}tuKhAMTxE-T|)#?TPRzE6rARH`)R5N!(z-;UyI2S&A<7LT2= zg^p)k_yCGFUSH97Q|E<`Ee`tdoR7;m{di*++ZJk-LKg@fm9#+Sh0QvoXMr{BnGVtv z#&_dCb?E}Sx99e}h<6!0v|a(gSn}dwe{jMINeHoKE1Qkulcu=?QhfDx3+*#y=GPkW zfCe&!0?=jK$6l6lzKF?6Nu$nM`pST)A)Eb1W6}$CR;1!Y4;wZzYWGRsTnK9+ov}NP zvPfSDH6E}y&ddCp)6iw=7ZNVuz5FlOTA;uVww`7mq#C9ZX+~si9|d}T6(wW=7q@jArj2`ZB*Wb{>~W4Op$F5MzeR6;l}^DhR7z?7qiz3_#V=)#`kW2SpI!+Hfw((fL!vP z%hvhGFAZ;Re*M^KT(Wh1fKnMY^#kR&(jQj3AwojN_vsF2&0qJvtX^BJb$a^ofvNv< zw*N-tmoA&uSNB;*Z0vK5O`UI#+MK8i$uwc0v47p{_|w+9VPkL3=vKs#F*LFUm-m47SSS_X}JeV0f1xu~jq zo!^zrJ%J+zwBYDpA`iXzDl`iGj)A|AKhK_xe-Hvxe>a9=THk7z`)n2q3WCc?=%-!f zLw}Lm2HpWbK0VPVi|=Fpi;XRL67(nH2W2(OeXG7;jJ*Hs^8oyIMlWUH|JmR3t9Ja^ zTYQ(r*`}c9-GBvwdM-Xr`MG>(n2U)vWRpH7O55N5=Bjw3wf~c^i$mhJjsIfr9BQ8& z{yu$VEA!uo?T=o*NZQ~kGCPXV12S#cV$=L0CEDsU=#VD48tLyY*~S_QcTbEVr?=nz zNx8uP-@4lWS^ACroP+=CSLtl>M#~XF(yD?uO-4*S2_8qt%Sm93^WaT$OMz#r z*B2;H`m;`RNY4J^ia(?#UHm?2K6eGE%|6ShZu|arNLSXO-(R9gNH8YX!b`fi`lnz2 zcVJTc?cEbwr^!YBr|XK2o^0Q)v$=x~z&Ccumvd?}BGC!RR6y4F{qM0nL~}sofeXR+ zw>}tHsebk8(Kui-apE7xehUW2G3VpsgZsXg+1d_K9mAWM|F}|`j-UNK>`}n*e((_Q ze){cOw-1E)v)*spdbgr~J1GBq#^s%vUFYh;r(*Wb6@U2#+7a{kxgqVLbLtjwzJD+G zQx@uBN-XM<_`$XZclq|l<1ycc|4vt~{xWm-@SkJqX#WEgY-JA%ONc24BD!*_c7HPP z)|u~WN1hcAQo91(&Ocb%Up?vY2TQ2)3L^iCe(}?z%NHpZF$$lnByphUJJPq+p};6Z(E6n8&Ct-88(a9KrPJ=PM&QC)9`hc1{l_GawVLqd z>GNOZJdfD?zT@jxreA()m@#~VPDd48I8(%ii|CckKR7?3c8C7^_o#kE^b>c?-4f?# zg$I7y`uN_9vOi&${7rS(g)X2}J5VK%8@kB+%m?HDn>SM`2l@?K; zswIX{OJAM3P7F2`iF&|%V3FnO?7h(+JF%eZ3DTFG2%f()29{y=L83 zso&y<=a<;Ehds&6bUQjb11i?!a(%t)%Ymq{Cp9mY4%tJSX2A}eyTacIG z=UbTv4|?J2B@G^48;`cg;oBHw>4sH{@$(KakBhp-9F1@>o{dazJmV}R7q$S0 z;ysRP9;;-Q1JApA`wM|l3`)``md){C$Wl<015o(DNy~)}5>u>BNPh+7c0=+(HN)Z| zj$t%|RZMJe(t?7)j}4OU+^~4P>+g4#Ny6T8=(sS@+_Q#x;311?SLZ1FYmNQm(Ecfy+Y=sXmkOp`)17GyTJ8E(L% z3M|292}8~B7qB6x!A_}BK%&_reU7*m5n;OtLUxljMy#@q7z(hKaeL~dkyiOYSpx(Q_9+G7t9inRgz`vn>}$xMj6QA* zOC%xavUTeZ$QioTKTRO-+MFgaPRcv=*aaTV4isyxU*;GbZ42Fj<`4(>BswVtimuwe zH(AU}we^Dqs`10hrrJPrl7sELi7!fbpHz8BR`s4)1(rj))wlV`kL<&mqL1d$A+I(; zHOSd8;aiPRSZYZ{e{V$>lF(oB^jvX@@Sv^;167s%h`z707vs^#PpAF|nj@f_ky><}M1rD}L2jx0DNHay>PRIbgtgjuUK)LOnTSMWUknQdZ-a(WI!HpDF zRLrSA%qvxPXhw)8r_Li{xo|(ntt<|@l23bi`s0a&JQD@lUT5*Vx%X=tqpNwy^R6i= zUMr5xr%fsnZ>$=gT}4M@dg^@OaFz4w}sRuZMW>%7}W{J92S&Hx?K5mMdvjWw<(%)>O+IIt#(Di z5_^{IHzJSMj$H~|Uur#XRikm>;!YF^l=22xzUiQiug);h4ezEY^UYCC$RBrR&TWCk zqZN{iBg*P4aPYW$`y)tyn}qX6FNq=xNjM_dwgncRmG8QU=ESuub6?r->tM0+q2hSb znWnxTZnNj2d>fESw&e+p_#$if(a`hA+9iDm%Lp-Q0?1VJRA%jhjfo;h^b{V2BDJGU z;V-UGH1=6Fm%0yFX5TTj1dcmvDm4;9)BQaKr?T08H7Zxl8N)O78@uC^zyd)k=r5$2V(#j0rhKKHFJLPac$Y;e@$-v{mX+2=?toh4JG{LV?v3`*zqZG_5T8{jQ3i0uUjA#sFxxwzrTKJS>oBjAvs8JxaxHGN{(``4z2S2K5Pg^SkN?OxS-z+sA-JulVS#h1^6J{$@Q8K8 z9R#Hw=0`2+ExjE{Egm~vMplk6-3VWvl6~EOvhwdIOSMlhd8-(oh^tU7A>Py+(U=%O zrrC8A|5QVPa6_Gm`yke5>RMT`(Zhdv?ej}LqP^ufKC%RkQjK15_kCA8sK|6wgQ!;g zvs{X9A;xCgDrI=(VIRJK}sd#sIBKjq0eRw8V0cId!FF5-7ZfDS~OHlvHU@ z__7BHGGi&&43HDv3*N}!Yes8wKuyP>EAnyL7FK< z0kBkHy%#1>hs)I9e>hAjOwuZT8XJrPjpp_rV9cY--;G7xlD^px zjIZZRlcSoT91P(hA6Tug>Tk!8BmEMKqgqp-2oBb`YG7W_aWvyriB&BM1j%1@z81xG z#N|)O6r!7%qMa&1nS|sq`uC2%hk;VRAJ$gQ^xQ_TJk)P#i|Gx0rTwN8cSJh3;G?)) zOvw*=EY?ZMBQ!zh-N_Hc@o^G+vln8c>7Fg5`5olE0z$#h+XJQJqoocde>(Oo?qsiYk%M2Y7mLBBAUxD+(g?6SCKB08c2tpszbw zAVkG?*&3i1#iI^3)WdOM{Ow>W0NFQC?!&0G2ztB=EVR@D;quyw&U2pGzC*iR-GD5s zqfwC3_-VlC|8wht&S|@E23<-k*pl`N#qxuwRP!T|qwI7{)U!pN_hK=yIa;>Pp=gOU%<093=emh=EKiImh@RLfU^NAA-=Sp-_}7QJmb!)+2^w0 z_e@rGBCr~{2(z9js~jk{y>dYA7;D%ED(cI#PTOqUJ(|-*se(c(MrCtWu-wrx+Z`&N zA^S4#8D^NEz&y5!X=yQ25L?jlpF}63)Mi{sURdv*KJtBMDdf zDgZhlF3pzu=m@@)8|&O3i~Z0*T$0>(_VYlup!%^jqg2_HGr3%Ccd-5PX`6LjusUHb zB+{z9=jMATv8(NYziwk|CT)sOCSQM|3|G;AIK~^14Kb`qnie@2m-S@aWdusD9Kv zCk4`dX^;>HN0(9#Au$KPK;x z0C=W$_tTY{9}}a#)xoIvDDbKe#iA2-yKWXOJcxQ??R zWJA}-UKv<<*MFpMSUk#-c<|e9Z2;VWlqW#AO_L**Ucl#`V21)o=9xHd^rF)4G_2_0 z-4=;(S2roJbKVO%l`7gvz=91}(F=)$ZB26AlU)w>ldEJeB;{dcb&nKPY{b&q1KZj| zX{$OIw^*H+iH=-ZV0UD%Tj*k|6vgQdOIFi!rz+)r3Qt_GnRm?gw$rg@J%?tBuq}@+ zS86I9JnxuyJl=E*#+0gDeN~Xd!u$hiaE9Us9##J$U{H{z;B1T zz((e5lF_HL$0xqir17CAFAVtlKQ6Ova0_y$E0>Ib;1^P6)wx}rx_!0^Us#?H1aZf`$_Cw+7E$L2)a77`;8)?m;0NIw*tzZcKWk=YTD@T==W&LiU8VZ-iZ^w|q@Le{${Z?fwLG^cV>t5Ze z%*7xIj@kYs-tOAY{m8ZYzWC3?MQZVC((?BiEeUyV?Gyqe|DCXP%Hz~AUi6Wn%C$Q~ z-|n5dNcE6%C7kkuAo~Om`x*%SD1(LnP>AA2ey&IzS&ig-ND4l$1nG&x+)z+ES0*h` zEQ++P`YNL=`AGGI`Aa_H>Ss=!#)*$8Gls5mA7#eVXgbfxYe*^kKhWOm()jse4hI?a z#Hp`~(uvv7wAbMu&YmNm%PWfpo!R#PSUe8Hcz2;F^lCWA{>s5!v_N$Gk7U|o!XSM&-azRFNUUxgcBK>tC{FSzWZQps*hu6~Wf{E1&sma2l%oXo^?qUk8r;Z)Yv z=kmv_a-C;rsoT1dhXqiyIZ9I~>WGKy?ZXsk^USOXr^q{ZkVTZ7JoSp+uYhR_W#B1Z z`dRrzo`{d@5GR<$BfG0lB;wAjcu4=COro6Qh8p4We8-@Jn=CIYmUzRB@S?8rNxITG zVh?nTUcUdml=vy-N_hDsY-!N7Gnl1ZPib37jB*!NG zo8iZKY@a>SdAxB6WZV#?icpLq!+aKTyLrFUlrU-WApD^?CAB1^0ow`iA}4gegLw&0 z1?t5jQct!L#Na%4P-^v+U`KT-xggzo!&f1)FFRlk~!lWy@S=qdV%~GEb2%M@Pkv}XS&5w zK4N&Z^s0DvK;A?0FI5DOmGJY&eXEUnF+;z?xkBeF}7#cigy- zQ5dk-4g&g{Kj@SZGa`7Z3lJ*P;nM&Qh}E|~XWN2Tb<6G0KDvBXAq4%AZfoIZ1(e(a z9E}4^qm@SF)c^?kT$NRP4O!{n%qpOWHmb|$=EDpLq8r=QesFia+rrFze1a2oug0BW zg!Z8mx9Tq-bNvlcP9)CtlAIW!?2zIum9z140MuogC<{w0RKE|LSnT(|#P3|S{^`u0 zgon?bAtA6qbQFr4BFy@IhD`n4B=O$U!yQn)`rW0!jUFe>N=Z=Z&Kv3X?6eLtyK8T$ z2KixCjcf-(xmX5h z8^As+Rg)Y%C685uqnp~71aQEMfDA0If|^8- z34z9_YK;fz3eR^4&hrG7wh1Dp&`aIwB%Yu@T`9tXW(rYiwP}PPcYo!GANuC1>~dyO zh$H^UfHX+rms&EUV1lh%d$6~zuVn^+PwSnHf!8e_=Z%!ACEd6ZGcD< zvel#bIy?#LU>l;ETFiI%Rt`u#s5M$IDrlTBkR|*`scc#_lPSq&`y}Cn#Q_YDN}aCU zgwg5;u16}O0dgZbS&0)2ss-#{qWW)@3c%fA*(t&_0lS;EEBhbm{Y1w{`&a)uOjij} zrRb&aA%)7H>4<@c6lwT7vHA8^9$gGFJUq)S61j^g$!{~;;ovMh!E9uVn~%;Es({pIgRE~*Y@Yqol1ph{&%oN-GgB%n$Us;QGV<_v&wq_nt8Um zSHli4g&OxfeizWNyR=Mll8}3vGuM-ZX$3h+UdOC|+WB}k-m%clcm%k{WUW`ESdoSS zrU-;7>6^F1hh@BntI9BteY7=-7uMw3)FU6$|Tvwm|3X8Xvug60<#= zLj`G;hl>uas0Tgh)RljV2Neza-D?iVbY^Qos8yvol|$`*|DT8*LsInF#3t$FDVG^@ z|H=H^(P_hZ3RK@$cC0J~CTfduIr>QZu);e^Fsz1PBTiFrviww~Sy0+*uJHlj zm*ghg3~9-}RI?G@c%pzlw$+Libo8poY2rt49A!c-xWXCP-j!D`%<;NA&;s*#rgv7v zfb`AE>XeA3sj`7f!8;2@P-hQmnlv3go4By{`W^T>G1}?`ArNFKC3)QtT&eA^Wo`&j zwvpaJ4|))k+;6W1%ljxLx5?tw5*r)PoTw3Dp80v%ELWi1B4;6Yve2_}$Uy9Z z$q{$qZHbt74;i{v@**zcE;9}kcjyO7XA4BE!qdg3l;n$r5BP|(@02ZM)L|m;c=8~V z{MP)UnZw?(nI=T(N0k>`?fW%=*CE^h0aP~VaQALKAG>S44jlv-r-B`FzG2TKV%@5Z zcN&Rb0%k{E&TR<e_ zAne@?9Z3Tcg(=e)xjNi(Sp(Kg0FV;+$a^l`rar2Ppk2Rt9}D{LUDc^g8B>o9X3#*f zXSf1zt1|{FM^=oX!3DxR+rSOPq|jwPBf@hB~lnGUF zYsmR$n8j88G@FClcNg;#dUjrNQaNt@59F)Iw~(Qd%IZWGJ@pE^E%25UQ4U2_H;|}U zN-nYw6wR@~{PCw8-K+fnKs0^DudBv!T-nbze7iR3)>nmB0^6~seKMb-1tzN@^b6~X zyLZ?zAp5HXC$wu;C|~8_GYiJ~9&WUl?cf%lW^9ReejlMmALF;QA1DTeD+-0)D?JGI zA%fQaf~^@st_y8%|FD@EUMf@`Vgx~Kx_kt@;cTA1&Okg5Vu?gkkX)cnZi5HpPrw}! zbwzHg3~9aEL-f8n1e(VnHm0x|!tSn5NxgB4ea)1W+Proo2&cBaiet(eHGL-|M+YCE znNvq%M3=|q~zNL`d21>Fphm)vEx%0R$mJ`gs-T4$B?W*G*$0r-=V zuMWo`;U<=K$FmLll4Acn3zn)eC?3{q0V9#Ekvn@ThVC=nC_Q&hFL$$)yq zw99wjzicEJICzsieUy)ntO={4~w<8-J92`G? z^TMVG(&4nCX^tMpM~wJoE+m4B0R~`5ky28mFh}>{%C>4md;|=*{yCJU<7{P$In3tGW@7m zq!HFgWCJR9UK<6{U_$NyUijRNDAQ~^?kaX!+eCO7r2=Y?h`~*=kA1k+cBJEZM*-2e zcgyO#;P!(Mo~s68&iRo;ndi_y;2l2i_*JJy=>cUWEf;T%&2D4n>4eKtU%`XMY;N|L z8cO|Y`=r+UHK4tYdEU!SXZz`21kUkV&Pn{x0`@eB*T~CeVSX*@;ZjU!YD;|*Eo4T7 zm0x<2M>Cp|pIR=QOp>r3>hA)g`Z!zzWJW04*s{@6Gq*WC$Cm%2An7@XinwbOY3X?0 z#C2q_+$u#pp1PLh%>cSZQEI$7$sV^OtsVzNM=%vT11}qx=#%-xl+;7vTGc>Tnf5^) zv`}_BVR>zNi(F9kaJfDFr&H%AfG#JBejf8}r&E8^GY3DtO%Op*tx{c%@z`!NGd#YN zI$OHSrSnS2pslm@^5z8ZQ*#ek`zKfnX+ELr}FGOseI5$Y~?28=qC(e;Ll+ z(Vsm~i94gGjSFSNcheIPmp@}pcj8t%MDUJ^{@ol1|ET&`>05n^6IHUf@aiWNbW25? z*9~g!8m6PqSN8T81gnnA-aY5zH;#p!0rgnuw*bSNj%MFP&DJHhIXVb^bV$F z3*bT7k4<3MJ1ixE_+WuEE_8jdO?jL+dA>F`m6?hD^Utz=gjMQquT6DkYk;0jT*;nB z(S;DcxVjlRFYdQ5)uOV1B#D--oh<8-dJ{iD>vUPLorki?2LXJBUd&4+K`(!sReX#q zFR&tdm`RQ!7NmpiVJqDl{aHRdTB$)etg5A73iyc9^rvZCF+eSGTbdMgkMehU8K^QH zX6yBP_qF>EzU2Zq+bR7(_2#ZJV~&${8VU{ z%~izZI~;6p@xb-UvJ>1EWMj+0okBqAG%g!gG0-l6xe)J(w*8A}fMI3vV0Kc9pxZ;b z^W1$s)`$yA2DuPjysYpw3x+YT-w23k2t&3ab$o@=l0{}hb8K&VSOcs;QCC3U2?Jm> zVt9U`HNK@4PnM zu%3K`^X3KCCG5|O-TResJtT;Ekg;-;E?X$;k@q>cfOtV_Ui)iz&Ls-EHtQQqpb2l0 z8MbrIZ)Wo@Yy#G<4G>1J5lYNO2D^NvbkIMe0c_q@V zb|Z0a3u26t9w`wFQzWm?N6syUKv_7A@G()+F=?P1r&Xt$ImfGABoEccrwR~ zX^lQonk=z|7dL>x%OU+ZNfg@h&vI#m=3w){jj)s&Z7 z_B5#Tz_PE(tzYeYu&t+R9mU9$*}-8-1BvBZU8FCNmqT$H0EAs zG8Q`AN7~$0AX)V;CrlMN~yMb3eJw5 zDdr`wu7Pe(7mR8usb!j8#9p8-9O<_$>O5KbevFM`9_zuH!p9E6s$jN*v6cDOTjB-w zi~KpZL*9cDZ`L6S$^VG=RO%JSi=H%iW^BI7glgaVE6#4jdOcF1q^rh{Z2;({%zsx{ zhn%ukDELvyYHx6B6vi(ev zN?UMm^|x`eaY^i0mKmeD6i9(~YvEdenT6v21NCGYc2|xR)m*QqE+inSNIZLXftO!~ zeC5*N$}>F{n_dfwCJyDf#cD(dY~gdT!Ju@?`vl(+&h|9}T%CH1Pfx>retlB`ag@wE z>SI??R5K3>Tyd~zZ@aVEJ9@my^tzCi{fq5LrM@-=;%IEG$A4DsT22G34Ap5S+BktY z$ub-MQjuCrN1tO%T)+7m8a;yrE8XeBt<2iiM@FK%ld>l$<*oM>xj ztd*RO)>ajgLhXd7A+K~$Vc*Ol5itjB$YjZay&W1S1}-H;z$fl8ve z1%1OF@(%PF6=b(nfM~!dT*GA8p(R~!=`Jm(fuKxAA)PPQW)@gHGN5YS@ds;u;o2tD z1qWDj?yot&5A?Pt8O8f!ki?WU2Ch0g?g{HsShll$hs+74y9FH6Szfj+PzM!*9S2qb z-4rOohrsNy`ER-PwvJr|T>6025~w^zEm@da0sJXmJLI(5*-`CDa; zJo2mDlsib zq2O8ejED&))Un;r;t_2VqP+032s`S`)8zsYSiT+Ob2gnPNuL%W16Oq$fIV4nMY26{ z@_AB6J|2{1-ro6cYj3}O=o%N)Cjg=wlCS=YT?lqaQdO!zC%zC&5eZV+5LNRj90mVChf-Lg<8ox19N3v&ZtqW|xn zQ+MTe7iL~{Wk?z%jy;GVUI9o68Bl$RzB;9d89<)Z+A#i!x1`kWun&uxc0ECW?{XLK zg#@nYeY0$EOqy=k75wbrsFuu!lN)>wai#kB>BO}KE(p~(ZXNR9GnLG-0yP&G^L`P*K*le4ug@jo#9?1~K<^mN)BkPmA?`KMkWbC{53jTr+tFYd5?+hKg2BbJ1%N}>o?C{;@& z@SSoo_j@AZ!PklcqQC+nlI>mS2MF@bSG95`t9dbF_r(pY}0wo5(;m+~i$25JU zpc{e~ko3m){v;!ceJUrgy>C8(aZnMvCLc3W1i{$#7}kVRddB^DQ%O&MNQAoG-oxk+G4h7=JBT zk3GNM<)mV&P=x>j58lwO8K;@84fvy>fO6ODn$En?777$&E{8@Sm%of)L$iarc4SQw zNI)yIRuxE+fx}ziCLqt&N+K%Mi195+ffYED^F68u8(^6xyBj&!>LHCceaZLuF}y4x z!!dL{Fluu#j@HAlI-@rE9v=B2jV|5RrZQG!lTzo@-Vxeonb5=RX%f(c#svdm!n5TZ z43st((t50S44BLk8^GpX2$*4|R^nUMD7nN`Q6waSVEApIMrd=v>%ySp58T^1P@1g# zLKir9f~Q3)U3TobLjV{@H+vGu+(@@zR#}z#6e6UQXFU|!l&ntU+?wzQ-@C|$1BY`B zxHM&d3p~SWIOxrgA?x+bgUmaC_O8c^a0DO=NJkP{d#>LG7mTLM)+}0jhqd<&9-7JO zqNb9@eb;H25+>vG8-4|i5;U_&b=d!p=MX?k^WvV)YvZ1KInLV`e}zPhV)=2pz&;Op zLEoKDa{^f34xSwGCm>^VGGmMP)5qPyJ9f&ASvE2oDB#A+1}^5W7@g0+3fM;sm#$Rp z+eYaB`;$}7ebOK%IeS0}DA4oBen4e=sLE8k;zw1dPN_Zd+f9U~!+<`lwMxAK4V7mM zd9j%dB-+j(hQM-C`sRJ)T^@aVpHeDOdqEzheELMWYeI@L_=TJLtu{4a$UJAnN7&JJPd;O<%ip#871 zL{vkhc$VAjvHQmWctEwQLLI&Og9sryQBH=FPH=(Py*>0a;x}CH7720B{G1KDYIjw* z^mSO>NrDR1bkL5xr?WSByd}R_F!haU!x^q~X|S`n-h zXmBCJeBtzr$V>zFH6}+4a`X}tbgzY(j5Od)10?PHt~bBuc@of8&hD^ObV@YahnXqq z9i!yv%Jq#rARDCfX*57a)wV!bjNrl65DEEN3UM(k*|C2hHH#Z)cBH6H^gl&i4O{+4 zj~!n}z7%L$(iK>Rh$KoefMo-xzTMORx%|iwV9e#SY7fEnTgd)RQd)y5EyHHW^kKay++hLH-0 zJ_(S_kN1GNj7P8pefC!{o%ZUn{(FtHC#eNq08&SbBz+9j{TgjY8Q5?`A79Zq&0p{l zJtJ-a9&zvJGN{aHW?YB@5ch8s6FcN4xY4`x)b8P%M%)i?zw&~}v$OHqLy}6_mWUc! zeSG^7`7uBNe+CD8&1x&NSnDPW5L_yd$JarTXvE|iMNogER!CdMG4xDWuD zglOsNR9(i8nauDJPzh#_SKoA7&(Uz{wE%@bJOCy^E+AbX72oDy7viE8y~HNoF|D}E z0ebEy>9^tIvuuyjoyBita{ryTC9bwAB-g%k**m|>`1uUn9DWag?v?-dAURgNEUumso!OQfJ(m~SA<_%>d}e&l zLQ(O4H2=*~6p3o;SCXYAwIA0X9iEoi2pRCA+XcD-o0nGa<~*QhGh?Z z9HX)}n^pOD`RMgK%nolrk8F%iT>C7~n`A?dSaYyWklr8FG6Vd4-YEd=9{P-kSU>~n zmV+^2t?LwNnys1i)?bZTs4J#pll+GVxMBtT-(qemIu?v8MKm1-)@a9awu)|*r)jGq z)`ZH37LR(<@hoz}?F;5Cf>)>x_{Xx&0RCp~f%4ZVY=iRe41|xPD%T94XcaUAD!WKcJXoOu*AfKCOL3@G-#lA?u8D3K5k?nJ=OEpc{1tBg+^}BTPtfk}VOwrJf0P z!qJXd5W_BgpdqmRJqWIDAU=r+OBEp^E}MTOXO9h4-ruY*0Bvy6ix_NM+jOS4?-qdW zQlIKBW-D*#wr0RI2Fwu00il^r<8L|0ti!a#GBZK2&S=KuOaV1Pp6N8BNJZpD1N!n+ zp;WKz&(MnYO8teXdqxZ&G4whd0^Fj2Pfu?q*{Z(~lmc$Va*-ieI5H|@`<(OHt9q44 z529N$)DT^L`jvQ1xN0O zxqT172=CkR^$azd#|M~RJmzbyyoC&xEw>Z<0919324pYaVqRuc4wPrJk*7}e#n<{z zRIU|QA$Lb2c-dvyqm>N@z?&}37^)mli3g2X6Wj+_m(#}`@jNuxG`3+O(|4)Q3xJd% z(OGo`)}%Kd0Hn@zcswu_*8oN1Zc5H;+?}+*_8n1&bHSXmCm6QswIrA9D;FyuUTQyB z03gEF>L}lWL&gwui%8I{%YZ8-HiWh8ae;cI#rwKjmF<1>D#` z2GiH$C$Cl=1=Oz72xO1d13)68W;*m1>!b@Hhp=aFDbCgX-aZkcNf3j4lcI4_awbZF zbOg+@a0N%=ygA@y6$qa8ZU$RK2zn4h2yn1@5mrA8M!z7u2z(+N@Otrz6o^NNE~t67=npV$L0u2<5Xw^3BL>4z(*p0STnxv*svODP1Z_ zQ&2tY7ueHp-UIgmXRA%|jwnk((A?q=C%>WUJi9`**;k`fdRUz}TvDXE7ZM6|D$E<_ z7;Xihq2%(Y;}ud+dO@EqL~m#lz)Bzle>)txl<=v7=91hIDOVxB@z63w^CZa_Jk_!LS)oSPXhG z!#6^y)9;YA7bmywWg9;-#U2AwFNf(@#DGn(6na{gtucOdc^GU z^ctAQ#%H0|yTbrkQVukKl!quZ(03lBJoK;ZKj*ct=mfaj>j24>Ri_*mTg!KsZeuiQ zKpU*RzhXQ)5ptK3_6OXO#P4{m5XdvfuNDWT0)qOptA!;L!!n49S4xQ6-_!k-qG`yb z16ZI%JbF2hl|nTG?FgLus6*;;=&8GSf8y_}#^VB){w@a6&yPzi)GaSUlfG{A^@7a- zJV4Y7-UgHMtdtb!&H3uo{^E+*d|eJ`;*&ceaW@I6?N_jaS1|V{H z=9|+U=fIR-2oPp&t019G7Ox3wIdHJB4noScRbKn3=I2MA5;~+sS%8Rs7RwNZJ#!1S z`cWA;k1qWt`BRzFX52@2TY?F#GK0ffW##}Q1qAt7c$~LrQsI3-w7s9zrC+xMgGq+^ z3l7f97-$a-qaUb{oV@;&4^b(3?RWKY#+r2hJNQEL9^nhSkGl!41(ziJqBHza@-ht0%U;N#@5rkV@v3p1`jZ$wW5C{T9^ZRZNJw1jGBObOpgk%5*Kj`=xnMJo?klACnkl=~ zkdKZ(QtuW=0}}}cP>qet*)NnL_-+H_>9RTE(Ab3|O1-r_w;KxRQ~0d6Jm}>nwoD`7 zRI)^4&1gY}Rt(8xwz8C{SKXll*Qo%am@`Y5jskI95(Ln5k-ij!$){H5EcYC!<@ty~ zMYNgHlu^n@iYO1QVuk0PiBc<22l&{PHj2E07v%z-q`&XPcVn|h%5IeXTD}6Rh5-dS zI7kSzzXmX(Lwo`>*}ELE1---S*SZCiQ(jNe0~I_QZrRi2{SA21quCtF{ny%UcwhOl z#;O&~cozUqNDl#!^Me+_CwWkDhAC0!QCHhTse!um3-;L<@^AB-26tg2^*dP660}2DGlrLn=*?Dz6=2Eqdk!y=7Z<&%1Jvi9Y_b6!lO$ zG9eoV6ARfD%6yM&KY;Y&k$X&%eIzy?J0r1-pc{!ib}%vQ(FNV+NT2k@hFG>P3}e&B z0m^H?SDQ{fGxZf-+)jG_LxEEVBbP2_a&OupK2BUZcT;T9+?5ZO%p8Liu_!=<@`t$F(;1TlNZm4^~3E9jVu&}6VR^8U9TNvLgX zhW3f2lJU>g65HL7MdRDI|9?ei9@W&DhVdJMTtFbT-ZP4FS;R`>Y1NdRnh=&C0~ew| zmg&qn$iamkCA3pzRfA*^tvxYKSmMN?5iXJt=+vPeajaFgwh-+UNw5P{6ev+Jq-+vE z*3L`*&mURt_kHj4{GR7SMoV)p%{>BNw+c-ksfg<4p6#G_CN3SXq#@Pmz)(!Cp5qvo zhqSKRzJcC~z!qIwy5S?u3fu?Os{^E75PRMZcoglxhP_9=G`~?DGEfI%URW&~Exigq zPmYss?whV1Y85AU(wJBnM=56GD%9uyX;sqCRTtySdk0IRvu^O+3*NU=M4F-@4p3 z?<6u>bc!SMt=}_i*bmOHz`hv0$WXOuXJW6oG` z&e$ZH@9fV>auA}JcWrBI(oJv1mt@8CPC3Xs3x6P~KLIA^!5K&>T5$60(a?RIdMy+F zbm3jN=K^^e@=4$jAgGY%kwb(W(5zTxAFfDv7OV|5o23zMS!3i<_4j(5*3b#2?3?*6 zs>!=pex-6tc^0L3L)a;x1t3fUgjj^_F@&JiWlyh4KY|nLT7E6`)u~WLA6U5zCy#=6 zXGb?dQZAeywQQw~7r!Cr$Z3wr0fjc6V9oy1h~FYImqbgOct}v$Dt*BOLHn&!P9jbv zb`XN%WJu$y*e`nKIM_u90AM}^#;yJ<9So;tq*Q$rj4PPzzh!;#M56;g#a))5n^mPW z!RA8gD;q2+;Xeq<_Ov^BKQ7I6;Fe~54aNgR*v_&IKsJSA9BVWo)P{Aub}VT%%MJ_^ zSjYM1!i8F}O57uTY;afh%Buix#Mk#>2fhf=Nc5_`eguNg5XXjaU4YtEi+2l&6j@Us zleaNZk{mL@+cCz5+?5l?BvU!F%xV&{nV2%o}UAl)i%1%7s2 z3C%&#E~KQ6-o>y_kCf(^QANR=+5n|g*X_4Wv11ttSgjZDSkGY5HIM9qy79_5BAmWPnbLPF~0&CjSSwF-n) z%=mYZ5TnWX73>N^p5KjfRq1AJ8lz5y^nVN0j(;Co&HMV{(q8Ldzf|`>r!AfM_&v@S z^5-6UK@b#BWE-HD{u&Bl`rh(?D7;gp;-}?`Ekn&LZ*lvQAPX6nFp}0qJRf0_Cd=_g z0E%2B1T{35F%tSesJNV&^mtsf&+@dSvlyVn=h62}Q!du^+c3gfD-qgFoQP@w$TMn& zp8&Qy`WJf%{~T+ucBW$wyDJ#W<(P2PRU;j_WDJU8S>|KP0J%?p-&`Iq} zLPX2spUdTLhPvw{`^qZ)1UEO=gPGDfCLU59U$KDyhrFFS5_2_#-5$l%lB@z`SwK*q zolsC0G_uc>k8|JWYSRuBRZF+O43M5sVDd=toT6q@Lhm-R3U}r}yl%SqJMV<=f4i^Z zDjjk8r#_(pf@1zeuSy1pM-$xsa0efuD>YCcGsDMX->Mr$hO{IYO zHIqCA)J(wKq#xwCFQfG_Kk3x+wq^0wEx7_zk$_G zt$8#SYBmKsH1H5LNRJZGvk_gcI#tF%PI4?#v9+k6CgC)S`K7{k z_N!@}c$s9&J7}v%@MuN#Ozm=2-BsF5P`Wr30Y7gtCH7S+2AbJTv_9}2dEUZmqlJPm z6yBZ2EN_8+^F0!TrXQECGKlT6>c^!tJs3T3f9fH3;X8 zExyQ({IOOR?c3Cs1YABAGl-uhk;0BWrwQ0o_K$+%a}&h&yv3fvRalx1Q$7dgq6P-# z$+chpM-85{WM^Cs8mmlT`@@H;YdAi2kLSO5Zak*O+!`RSl9(s`Pz))%y>DktM!#9( zA&=Ou-n5clDPgxQz?Zug$y{8wn(u_zqdTise7uoU;$CIqSvLdvGYX1ydzAd5EWdy{ zbTh2pwM%a;f}q}q>lGuU3778{{`a`j^Q=E<*pCH5)OvxWs)bhJ^X6Ni#&2{?#g6;> zw+Ff){pgK~7!l9|hnz9CjVP_l+k6o^p4VHN@1{5HXCcGkE+eiqO>}I1QO!r7FiJ-` zsF7Vz{HeUOijik1vhJH8fS_(^D)3)F3hSYstBd<4mUIvG)$-E?vW4GLj&&d`2sd$s zNwB7>HS*ouZLwXj!nwP;+>}IPGlJhH4i(u{;5;r>PG6My23G7GY9LqeZ zy^hH*#pXNAsXZ?q!OEaV4&= zl4)T<$)p$*P?|K(kQ2+nV1FtwSs-ST7H~8Ntnr|SqX4Z>9DwNpiuLU6)ZS~)=}16N znS(bhW1ZPAU`s6n+9w#ei#tm!ia z^$7-Te^QYEk07UZlVOU=aq_$j z(A#pM2+(s;Ar#|aQqjnTKQEY~b(@}+&H`%PKpJHEQY-gTSa!xQIv>989*z-=FeY!T zF + + +To enable this functionality, you will need the following, +* Two USB-A Female to 2.54mm adapters, which can be found [here](https://www.aliexpress.us/item/2255800203914149.html?spm=a2g0o.productlist.main.17.6e617e229i3qAm&algo_pvid=89ee64ce-a2c8-41f6-9e3b-45e8396569fd&algo_exp_id=89ee64ce-a2c8-41f6-9e3b-45e8396569fd-8&pdp_npi=4%40dis%21USD%210.28%210.25%21%21%210.28%21%21%402132a25516924371147167093ec531%2110000001592482118%21sea%21US%214484896846%21A&curPageLogUid=dAeFgl6FWDAf). +* Four 15K ohm resistors as USB pulldown resistor. + +Then wire things up correctly, refer to the image above and the [Tang Nano 20K pinout](https://wiki.sipeed.com/hardware/en/tang/tang-nano-20k/nano-20k.html)). Follow these steps, +* Connect USB VBUS to the 5V pin of Tang Nano 20K, and USB GND to the Tang GND. +* For controller 1, connect D+ to pin 42 and D- to pin 41. +* For controller 2, connect D+ to pin 56 and D- to pin 54. +* Connect four 15K ohm resistors from D-/D+ to GND. + +Please note that using the resistors is necessary for stability. + +That's all you need to do. + +**Limitation**: Note that only USB low-speed gamepads are currently supported. So controllers like PS5 or Xbox 360 pads are not compatible. + diff --git a/nes.gprj b/nes.gprj index a59af81..8e30d24 100644 --- a/nes.gprj +++ b/nes.gprj @@ -16,6 +16,7 @@ + @@ -39,6 +40,8 @@ + + diff --git a/src/gowin_rpll_hdmi/gowin_rpll.v b/src/gowin_rpll_hdmi/gowin_rpll.v index 6fd6974..a59a551 100644 --- a/src/gowin_rpll_hdmi/gowin_rpll.v +++ b/src/gowin_rpll_hdmi/gowin_rpll.v @@ -60,6 +60,6 @@ defparam rpll_inst.CLKOUTD_BYPASS = "false"; defparam rpll_inst.DYN_SDIV_SEL = 2; defparam rpll_inst.CLKOUTD_SRC = "CLKOUT"; defparam rpll_inst.CLKOUTD3_SRC = "CLKOUT"; -defparam rpll_inst.DEVICE = "GW2A-18C"; +defparam rpll_inst.DEVICE = "GW2AR-18"; endmodule //Gowin_rPLL diff --git a/src/gowin_rpll_nes/gowin_rpll.v b/src/gowin_rpll_nes/gowin_rpll.v index 2bbd4dc..e988acc 100644 --- a/src/gowin_rpll_nes/gowin_rpll.v +++ b/src/gowin_rpll_nes/gowin_rpll.v @@ -81,6 +81,6 @@ defparam rpll_inst.CLKOUTD_BYPASS = "false"; defparam rpll_inst.DYN_SDIV_SEL = 2; defparam rpll_inst.CLKOUTD_SRC = "CLKOUT"; defparam rpll_inst.CLKOUTD3_SRC = "CLKOUT"; -defparam rpll_inst.DEVICE = "GW2AR-18C"; +defparam rpll_inst.DEVICE = "GW2AR-18"; endmodule //Gowin_rPLL diff --git a/src/gowin_rpll_usb.v b/src/gowin_rpll_usb.v new file mode 100644 index 0000000..6026de4 --- /dev/null +++ b/src/gowin_rpll_usb.v @@ -0,0 +1,59 @@ + +module Gowin_rPLL_usb (clkout, clkoutp, lock, reset, clkin); + +output clkout; +output clkoutp; +output lock; +input reset; +input clkin; + +wire clkoutd_o; +wire clkoutd3_o; +wire gw_gnd; + +assign gw_gnd = 1'b0; + +rPLL rpll_inst ( + .CLKOUT(clkout), + .LOCK(lock), + .CLKOUTP(clkoutp), + .CLKOUTD(clkoutd_o), + .CLKOUTD3(clkoutd3_o), + .RESET(reset), + .RESET_P(gw_gnd), + .CLKIN(clkin), + .CLKFB(gw_gnd), + .FBDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .IDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .ODSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .PSDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .DUTYDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .FDLY({gw_gnd,gw_gnd,gw_gnd,gw_gnd}) +); + +// 27 -> 12 Mhz low-speed USB clock +defparam rpll_inst.FCLKIN = "27"; +defparam rpll_inst.IDIV_SEL = 8; +defparam rpll_inst.FBDIV_SEL = 3; +defparam rpll_inst.ODIV_SEL = 64; + +defparam rpll_inst.DYN_IDIV_SEL = "false"; +defparam rpll_inst.DYN_FBDIV_SEL = "false"; +defparam rpll_inst.DYN_ODIV_SEL = "false"; +defparam rpll_inst.PSDA_SEL = "1000"; +defparam rpll_inst.DYN_DA_EN = "false"; +defparam rpll_inst.DUTYDA_SEL = "1000"; +defparam rpll_inst.CLKOUT_FT_DIR = 1'b1; +defparam rpll_inst.CLKOUTP_FT_DIR = 1'b1; +defparam rpll_inst.CLKOUT_DLY_STEP = 0; +defparam rpll_inst.CLKOUTP_DLY_STEP = 0; +defparam rpll_inst.CLKFB_SEL = "internal"; +defparam rpll_inst.CLKOUT_BYPASS = "false"; +defparam rpll_inst.CLKOUTP_BYPASS = "false"; +defparam rpll_inst.CLKOUTD_BYPASS = "false"; +defparam rpll_inst.DYN_SDIV_SEL = 2; +defparam rpll_inst.CLKOUTD_SRC = "CLKOUT"; +defparam rpll_inst.CLKOUTD3_SRC = "CLKOUT"; +defparam rpll_inst.DEVICE = "GW2AR-18"; + +endmodule //Gowin_rPLL diff --git a/src/nes_tang20k.v b/src/nes_tang20k.v index a1561ac..769b29c 100644 --- a/src/nes_tang20k.v +++ b/src/nes_tang20k.v @@ -49,6 +49,13 @@ module NES_Tang20k( input joystick_miso2, output reg joystick_cs2, + // USB + inout usbdm, + inout usbdp, + inout usbdm2, + inout usbdp2, +// output clk_usb, + // HDMI TX output tmds_clk_n, output tmds_clk_p, @@ -64,12 +71,21 @@ always @(posedge clk) begin end `ifndef VERILATOR -// NES PPU clock 5.369 * 7 = 37.6 -Gowin_rPLL_nes pll_nes( - .clkin(sys_clk), - .clkout(clk), // FREQ main clock - .clkoutp(clk_sdram) // FREQ main clock phase shifted -); +// Gowin_rPLL_nes pll_nes( +// .clkin(sys_clk), +// .clkout(clk), // FREQ main clock +// .clkoutp(clk_sdram) // FREQ main clock phase shifted +// ); + wire clk = sys_clk; + wire clk_sdram = ~sys_clk; + wire clk_usb; + + // USB clock 12Mhz + Gowin_rPLL_usb pll_nes( + .clkin(sys_clk), + .clkout(clk_usb), // 12Mhz usb clock + .clkoutp() + ); // HDMI domain clocks wire clk_p; // 720p pixel clock: 74.25 Mhz @@ -161,11 +177,16 @@ UartDemux #(.FREQ(FREQ), .BAUDRATE(BAUDRATE)) uart_demux( O is A, X is B */ wire [7:0] joy_rx[0:1], joy_rx2[0:1]; // 6 RX bytes for all button/axis state + wire [7:0] usb_btn, usb_btn2; + wire usb_btn_x, usb_btn_y, usb_btn_x2, usb_btn_y2; + wire usb_conerr, usb_conerr2; wire auto_square, auto_triangle, auto_square2, auto_triangle2; wire [7:0] nes_btn = {~joy_rx[0][5], ~joy_rx[0][7], ~joy_rx[0][6], ~joy_rx[0][4], - ~joy_rx[0][3], ~joy_rx[0][0], ~joy_rx[1][6] | auto_square, ~joy_rx[1][5] | auto_triangle}; + ~joy_rx[0][3], ~joy_rx[0][0], ~joy_rx[1][6] | auto_square, ~joy_rx[1][5] | auto_triangle} | + usb_btn; wire [7:0] nes_btn2 = {~joy_rx2[0][5], ~joy_rx2[0][7], ~joy_rx2[0][6], ~joy_rx2[0][4], - ~joy_rx2[0][3], ~joy_rx2[0][0], ~joy_rx2[1][6] | auto_square2, ~joy_rx2[1][5] | auto_triangle2}; + ~joy_rx2[0][3], ~joy_rx2[0][0], ~joy_rx2[1][6] | auto_square2, ~joy_rx2[1][5] | auto_triangle2} | + usb_btn2; // Joypad handling always @(posedge clk) begin @@ -349,10 +370,24 @@ dualshock_controller controller2 ( .I_VIB_SW(2'b00), .I_VIB_DAT(8'hff) // no vibration ); -Autofire af_square (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx[1][7]), .out(auto_square)); -Autofire af_triangle (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx[1][4]), .out(auto_triangle)); -Autofire af_square2 (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx2[1][7]), .out(auto_square2)); -Autofire af_triangle2 (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx2[1][4]), .out(auto_triangle2)); +Autofire af_square (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx[1][7] | usb_btn_y), .out(auto_square)); // B +Autofire af_triangle (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx[1][4] | usb_btn_x), .out(auto_triangle)); // A +Autofire af_square2 (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx2[1][7] | usb_btn_y2), .out(auto_square2)); +Autofire af_triangle2 (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx2[1][4] | usb_btn_x2), .out(auto_triangle2)); + +wire [63:0] dbg_hid_report; +wire [3:0] dbg_dev; +wire [15:0] dbg_vid, dbg_pid; +usb_gamepad usb_controller ( + .usbclk(clk_usb), .usbrst_n(sys_resetn), + .usb_dm(usbdm), .usb_dp(usbdp), .btn_nes(usb_btn), .btn_x(usb_btn_x), .btn_y(usb_btn_y), .conerr(usb_conerr), + .dbg_hid_report(), .dbg_dev(), .dbg_vid(), .dbg_pid() +); +usb_gamepad usb_controller2 ( + .usbclk(clk_usb), .usbrst_n(sys_resetn), + .usb_dm(usbdm2), .usb_dp(usbdp2), .btn_nes(usb_btn2), .btn_x(usb_btn_x2), .btn_y(usb_btn_y2), .conerr(usb_conerr2), + .dbg_hid_report(dbg_hid_report), .dbg_dev(dbg_dev), .dbg_vid(dbg_vid), .dbg_pid(dbg_pid) +); // // Print control @@ -360,7 +395,7 @@ Autofire af_triangle2 (.clk(clk), .resetn(sys_resetn), .btn(~joy_rx2[1][4]), .ou `include "print.v" defparam tx.uart_freq=BAUDRATE; defparam tx.clk_freq=FREQ; -assign print_clk = clk; +assign print_clk = sys_clk; assign UART_TXD = uart_txp; reg[3:0] state_0; @@ -378,23 +413,15 @@ reg [15:0] indata_clk_count = 0; reg [3:0] sd_state0 = 0; -reg [19:0] timer; // 27 times per second +reg [19:0] timer; // 37 times per second always @(posedge clk) timer <= timer + 1; +// `define HID_REPORT + always@(posedge clk)begin state_0<={2'b0, loader_done}; state_1<=state_0; -/* - if (timer == 0) begin - `print({joy_rx[0], joy_rx[1], joy_rx2[0], joy_rx2[1], nes_btn, nes_btn2}, 6); -// `print({3'b0, sd_active, 3'b0, sd_total, sd_rsector, sd_last_sector}, 8); - end - if (timer == 20'b1000_0000_0000_0000_0000) begin - `print("\n", STR); - end -*/ - if (uart_demux.write) recv_packets <= recv_packets + 1; @@ -407,18 +434,46 @@ always@(posedge clk)begin end end -// if (sd_state != sd_state0) begin -// if (sd_state == SD_READ_META) begin -// `print("Reading SDcard\n", STR); -// end -// if (sd_state == SD_START_SECTOR) begin -// if (sd_rsector[15:0] == 16'b0) begin -// `print(sd_romlen, 3); -// end else -// `print(sd_rsector[15:0], 2); -// end -// sd_state0 <= sd_state; -// end +`ifdef HID_REPORT + if (timer == 20'h00000) + `print("hid=", STR); + if (timer == 20'h10000) + `print(dbg_hid_report, 8); + if (timer == 20'h20000) + `print(", vidpid=", STR); + if (timer == 20'h30000) + `print({dbg_vid, dbg_pid}, 4); + if (timer == 20'h40000) + `print(", dev=", STR); + if (timer == 20'h50000) + `print({4'b0, dbg_dev}, 1); + if (timer == 20'h60000) + `print(", ds2[2]=", STR); + if (timer == 20'h70000) + `print({joy_rx[0], joy_rx[1], joy_rx2[0], joy_rx2[1]}, 4); + if (timer == 20'h80000) + `print(", usb_btn[2]=", STR); + if (timer == 20'h90000) + `print({usb_btn, usb_btn2}, 2); + + if (timer == 20'hf0000) + `print("\n", STR); +`endif + +`ifdef PRINT_SD + if (sd_state != sd_state0) begin + if (sd_state == SD_READ_META) begin + `print("Reading SDcard\n", STR); + end + if (sd_state == SD_START_SECTOR) begin + if (sd_rsector[15:0] == 16'b0) begin + `print(sd_romlen, 3); + end else + `print(sd_rsector[15:0], 2); + end + sd_state0 <= sd_state; + end +`endif `ifdef COLOR_TRACING // print some color values @@ -490,6 +545,7 @@ end `endif -assign led = ~{~UART_RXD, loader_done}; +assign led = ~{~UART_RXD, usb_conerr, loader_done}; +// assign led = ~usb_btn; endmodule \ No newline at end of file diff --git a/src/nes_tang20k.vh b/src/nes_tang20k.vh index cf09e38..8bbf21a 100644 --- a/src/nes_tang20k.vh +++ b/src/nes_tang20k.vh @@ -3,6 +3,7 @@ localparam FREQ=27_000_000; // at least 10x baudrate // localparam FREQ=37_800_000; // UART baudrate: BAUDRATE <= FREQ/10 +// localparam BAUDRATE=115200; localparam BAUDRATE=921600; // define this to execute one NES cycle per 0.01 second and print the operation done diff --git a/src/nestang.cst b/src/nestang.cst index 6cfe774..a324986 100644 --- a/src/nestang.cst +++ b/src/nestang.cst @@ -71,9 +71,30 @@ IO_PORT "UART_TXD" IO_TYPE=LVCMOS33; //IO_LOC "UART_RXD" 31; //IO_PORT "UART_RXD" IO_TYPE=LVCMOS33 PULL_MODE=NONE; +// USB ports +IO_LOC "usbdp" 42; +IO_PORT "usbdp" PULL_MODE=DOWN IO_TYPE=LVCMOS33; +IO_LOC "usbdm" 41; +IO_PORT "usbdm" PULL_MODE=DOWN IO_TYPE=LVCMOS33; +IO_LOC "usbdp2" 56; +IO_PORT "usbdp2" PULL_MODE=DOWN IO_TYPE=LVCMOS33; +IO_LOC "usbdm2" 54; +IO_PORT "usbdm2" PULL_MODE=DOWN IO_TYPE=LVCMOS33; + +// USB debug board +//IO_LOC "usbdp" 41; // LCD_R4 +//IO_PORT "usbdp" PULL_MODE=DOWN IO_TYPE=LVCMOS33; +//IO_LOC "usbdm" 42; // LCD_R3 +//IO_PORT "usbdm" PULL_MODE=DOWN IO_TYPE=LVCMOS33; +//IO_LOC "clk_usb" 56; // for logic analyzer +//IO_PORT "clk_usb" PULL_MODE=NONE IO_TYPE=LVCMOS33; + + // 2 LEDs for debug IO_LOC "led[1]" 16; IO_PORT "led[1]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8; IO_LOC "led[0]" 15; IO_PORT "led[0]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8; + +// pinout: https://wiki.sipeed.com/hardware/zh/tang/tang-nano-20k/assets/nano_20k/tang_nano_20k_pinlabel.png \ No newline at end of file diff --git a/src/nestang.sdc b/src/nestang.sdc index fea0613..34f5cbf 100644 --- a/src/nestang.sdc +++ b/src/nestang.sdc @@ -1,8 +1,11 @@ // NES clocks -create_clock -name clk -period 37.04 [get_nets {clk}] // 27 Mhz +create_clock -name clk -period 37.04 [get_nets {sys_clk}] // 27 Mhz //create_generated_clock -name clk -source [get_nets {pclk}] -master_clock pclk -divide_by 3 [get_nets {clk}] // 32.25 Mhz +// USB clock +create_clock -name clk_usb -period 83.33 [get_nets {clk_usb}] // 12 Mhz + // HDMI clocks create_clock -name clk_p5 -period 2.6936 [get_nets {clk_p5}] // 371.25 Mhz //create_generated_clock -name clk_p -source [get_nets {clk_p}] -master_clock clk_p5 -divide_by 5 [get_nets {clk_p}] // 74.25 Mhz: 720p pixel clock diff --git a/src/usb_gamepad.v b/src/usb_gamepad.v new file mode 100644 index 0000000..2ff9c95 --- /dev/null +++ b/src/usb_gamepad.v @@ -0,0 +1,325 @@ +// Original author: hi631@github +// https://github.com/hi631/tang-nano-9K + +module usb_gamepad ( + input usbclk, // 12MHz + input usbrst_n, // reset + inout usb_dm, usb_dp, + output reg [7:0] btn_nes, + output reg btn_x, btn_y, // for auto-fire + output reg [63:0] dbg_hid_report, // last HID report + output reg [15:0] dbg_vid, + output reg [15:0] dbg_pid, + output [3:0] dbg_dev, + output conerr +); + +wire dtrdy, dtstb; // data ready and strobe +wire [7:0] ukpdat; // actual data +wire vidpid; // last vid/pid was valid +//wire conerr; // connection error +ukp ukp( + .usbrst_n(usbrst_n), .usbclk(usbclk), + .usb_dp(usb_dp), .usb_dm(usb_dm), .usb_oe(), + .ukprdy(dtrdy), .ukpstb(dtstb), .ukpdat(ukpdat), .vidpid(vidpid), + .conerr(conerr) ); + +reg [3:0] rcvct; // counter for recv data +reg dtstbd, dtrdyd; // delayed dtstb and dtrdy +reg [15:0] tmp_vid, tmp_pid; // temporary VID and PID + +// Device types, see vidpid_recognition below +localparam D_GENERIC = 0; +localparam D_GAMEPAD = 1; +localparam D_DS2_ADAPTER = 2; + +reg [3:0] dev = D_GENERIC; // device type recognized through VID/PID +assign dbg_dev = dev; +reg valid = 0; // whether current scancode is valid + +reg btn_a, btn_b, btn_sel, btn_sta; +reg btn_al, btn_ar, btn_ad, btn_au; // left, right, down, up + +always @(posedge usbclk) begin : process_in_data + dtrdyd <= dtrdy; dtstbd <= dtstb; + if(~dtrdy) rcvct <= 0; + else begin + if(dtstb && ~dtstbd) begin + case(rcvct) + 0: begin + tmp_vid[7:0] <= ukpdat; // collect VID/PID from the device descriptor + dbg_hid_report[7:0] <= ukpdat; + end + 1: begin + tmp_vid[15:8] <= ukpdat; + dbg_hid_report[15:8] <= ukpdat; + end + 2: begin + tmp_pid[7:0] <= ukpdat; + dbg_hid_report[23:16] <= ukpdat; + end + 3: begin + tmp_pid[15:8] <= ukpdat; + dbg_hid_report[31:24] <= ukpdat; + end + 4: dbg_hid_report[39:32] <= ukpdat; + 5: dbg_hid_report[47:40] <= ukpdat; + 6: dbg_hid_report[55:48] <= ukpdat; + 7: dbg_hid_report[63:56] <= ukpdat; + endcase + // Generic gamepad handling. + // A typical scheme: + // - d[3] is X axis (0: left, 255: right) + // - d[4] is Y axis + // - d[5][7:4] is buttons YBAX + // - d[6][5:4] is buttons START,SELECT + // Variations: + // - Some gamepads uses d[0] and d[1] for X and Y axis. + // - Some transmits a different set when d[0][1:0] is 2 (a dualshock adapater) + case (rcvct) + 0: begin + if (ukpdat[1:0] != 2'b10) begin + // for DualShock2 adapter, 2'b10 marks an irrelevant record + valid <= 1; + btn_al <= 0; btn_ar <= 0; btn_au <= 0; btn_ad <= 0; + end else + valid <= 0; + if (ukpdat==8'h00) {btn_al, btn_ar} <= 2'b10; + if (ukpdat==8'hff) {btn_al, btn_ar} <= 2'b01; + end + 1: begin + if (ukpdat==8'h00) {btn_au, btn_ad} <= 2'b10; + if (ukpdat==8'hff) {btn_au, btn_ad} <= 2'b01; + end + 3: if (valid) begin + if (ukpdat[7:6]==2'b00) {btn_al, btn_ar} <= 2'b10; + if (ukpdat[7:6]==2'b11) {btn_al, btn_ar} <= 2'b01; + end + 4: if (valid) begin + if (ukpdat[7:6]==2'b00) {btn_au, btn_ad} <= 2'b10; + if (ukpdat[7:6]==2'b11) {btn_au, btn_ad} <= 2'b01; + end + 5: if (valid) begin + btn_x <= ukpdat[4]; + btn_a <= ukpdat[5]; + btn_b <= ukpdat[6]; + btn_y <= ukpdat[7]; + end + 6: if (valid) begin + btn_sel <= ukpdat[4]; + btn_sta <= ukpdat[5]; + end + endcase + // TODO: add any special handling if needed + // (using the detected controller type in 'dev') + + rcvct <= rcvct + 1; + end + end + if(~dtrdy && dtrdyd) btn_nes <= {btn_ar,btn_al,btn_ad,btn_au,btn_sta,btn_sel,btn_b,btn_a}; +end + +always @(posedge usbclk) begin : vidpid_recognition + if (vidpid) begin + dbg_vid <= tmp_vid; + dbg_pid <= tmp_pid; + case({tmp_vid, tmp_pid}) + 32'h081F_E401: // "Gamepad" - snes-style gamepad + dev <= D_GAMEPAD; + 32'h0810_0001: // "Twin USB Joystick" - DS2 USB adapter + dev <= D_DS2_ADAPTER; + default: + dev <= D_GENERIC; + endcase + end +end + +endmodule + +module ukp( + input usbrst_n, + input usbclk, // 12MHz clock + inout usb_dp, usb_dm, // D+, D- + output usb_oe, + output reg ukprdy, // data frame is outputing + output ukpstb, // strobe for a byte within the frame + output reg [7:0] ukpdat, // output data when ukpstb=1 + output reg vidpid, // VID/PID in last response is valid + output conerr +); + + parameter S_OPCODE = 0; + parameter S_LDI0 = 1; + parameter S_LDI1 = 2; + parameter S_B0 = 3; + parameter S_B1 = 4; + parameter S_B2 = 5; + parameter S_S0 = 6; + parameter S_S1 = 7; + parameter S_S2 = 8; + parameter S_TOGGLE0 = 9; + + wire [3:0] inst; + reg [3:0] insth; + wire sample; // 1: an IN sample is available + reg connected = 0, inst_ready = 0, up = 0, um = 0, cond = 0, nak = 0, dmis = 0; + reg ug, ugw, nrzon; // ug=1: output enabled, 0: hi-Z + reg bank = 0, record1 = 0; + reg [1:0] mbit = 0; // 1: out4/outb is transmitting + reg [3:0] state = 0, stated; + reg [7:0] wk = 0; // W register + reg [7:0] sb = 0; // out value + reg [3:0] sadr; // out4/outb write ptr + reg [13:0] pc = 0, wpc; // program counter, wpc = next pc + reg [2:0] timing = 0; // T register (0~7) + reg [3:0] lb4 = 0, lb4w; + reg [13:0] interval = 0; + reg [6:0] bitadr = 0; // 0~127 + reg [7:0] data = 0; // received data + reg [2:0] nrztxct, nrzrxct; // NRZI trans/recv count for bit stuffing + wire interval_cy = interval == 12001; + wire next = ~(state == S_OPCODE & ( + inst ==2 & dmi | // start + (inst==4 || inst==5) & timing != 0 | // out0/hiz + inst ==13 & (~sample | (dpi | dmi) & wk != 1) | // in + inst ==14 & ~interval_cy // wait + )); + wire branch = state == S_B1 & cond; + wire retpc = state == S_OPCODE && inst==7 ? 1 : 0; + wire jmppc = state == S_OPCODE && inst==15 ? 1 : 0; + wire dbit = sb[7-sadr[2:0]]; + wire record; + reg dmid; + reg [23:0] conct; + wire conerr = conct[23] || ~usbrst_n;; + + usb_gamepad_rom ukprom(.clk(usbclk), .adr(pc), .data(inst)); + + always @(posedge usbclk) begin + if(~usbrst_n) begin + pc <= 0; connected <= 0; cond <= 0; inst_ready <= 0; state <= S_OPCODE; timing <= 0; + mbit <= 0; bitadr <= 0; nak <= 1; ug <= 0; + end else begin + dpi <= usb_dp; dmi <= usb_dm; + vidpid <= 0; // ensure pulse + if (inst_ready) begin + // Instruction decoding + case(state) + S_OPCODE: begin + insth <= inst; + if(inst==1) state <= S_LDI0; // op=ldi + if(inst==3) begin sadr <= 3; state <= S_S0; end // op=out4 + if(inst==4) begin ug <= 9; up <= 0; um <= 0; end + if(inst==5) begin ug <= 0; end + if(inst==6) begin sadr <= 7; state <= S_S0; end // op=outb + if (inst[3:2]==2'b10) begin // op=10xx(BZ,BC,BNAK,DJNZ) + state <= S_B0; + case (inst[1:0]) + 2'b00: cond <= ~dmi; + 2'b01: cond <= connected; + 2'b10: cond <= nak; + 2'b11: cond <= wk != 1; + endcase + end + if(inst==11 | inst==13 & sample) wk <= wk - 8'd1; // op=DJNZ,IN + if(inst==15) begin state <= S_B2; cond <= 1; end // op=jmp + if(inst==12) state <= S_TOGGLE0; + end + // Instructions with operands + // ldi + S_LDI0: begin wk[3:0] <= inst; state <= S_LDI1; end + S_LDI1: begin wk[7:4] <= inst; state <= S_OPCODE; end + // branch/jmp + S_B2: begin lb4w <= inst; state <= S_B0; end + S_B0: begin lb4 <= inst; state <= S_B1; end + S_B1: state <= S_OPCODE; + // out + S_S0: begin sb[3:0] <= inst; state <= S_S1; end + S_S1: begin sb[7:4] <= inst; state <= S_S2; mbit <= 1; end + // toggle and vidpid + S_TOGGLE0: begin + if (inst == 1) connected <= ~connected; // toggle + else vidpid <= 1; // vidpid + state <= S_OPCODE; + end + endcase + // pc control + if (mbit==0) begin + if(jmppc) wpc <= pc + 4; + if (next | branch | retpc) begin + if(retpc) pc <= wpc; // ret + else if(branch) + if(insth==15) // jmp + pc <= { inst, lb4, lb4w, 2'b00 }; + else // branch + pc <= { 4'b0000, inst, lb4, 2'b00 }; + else pc <= pc + 1; // next + inst_ready <= 0; + end + end + end + else inst_ready <= 1; + // bit transmission (out4/outb) + if (mbit==1 && timing == 0) begin + if(ug==0) nrztxct <= 0; + else + if(dbit) nrztxct <= nrztxct + 1; + else nrztxct <= 0; + if(insth == 4'd6) begin + if(nrztxct!=6) begin up <= dbit ? up : ~up; um <= dbit ? ~up : up; end + else begin up <= ~up; um <= up; nrztxct <= 0; end + end else begin + up <= sb[{1'b1,sadr[1:0]}]; um <= sb[sadr[2:0]]; + end + ug <= 1'b1; + if(nrztxct!=6) sadr <= sadr - 4'd1; + if(sadr==0) begin mbit <= 0; state <= S_OPCODE; end + end + // start instruction + dmid <= dmi; + if (inst_ready & state == S_OPCODE & inst == 4'b0010) begin // op=start + bitadr <= 0; nak <= 1; nrzrxct <= 0; + end else + if(ug==0 && dmi!=dmid) timing <= 1; + else timing <= timing + 1; + // IN instruction + if (sample) begin + if (bitadr == 8) nak <= dmi; + if(nrzrxct!=6) begin + data[6:0] <= data[7:1]; + data[7] <= dmis ~^ dmi; // ~^/^~ is XNOR, basically testing bit equality + bitadr <= bitadr + 1; nrzon <= 0; + end else nrzon <= 1; + dmis <= dmi; + if(dmis ~^ dmi) nrzrxct <= nrzrxct + 1; + else nrzrxct <= 0; + end + if(ug==0) begin + if(bitadr==24) ukprdy <= 1; // ignore first 3 bytes + if(bitadr==88) ukprdy <= 0; // output next 8 bytes + end + if((bitadr>11 & bitadr[2:0] == 3'b000) & (timing == 2)) ukpdat <= data; + // Timing + interval <= interval_cy ? 0 : interval + 1; + record1 <= record; + if (~record & record1) bank <= ~bank; + // Connection status & WDT + ukprdyd <= ukprdy; + if(ukprdy && ~ukprdyd) conct <= 0; + else begin + if(conct[23:22]!=2'b11) conct <= conct + 1; + else begin pc <= 0; conct <= 0; end // !! WDT ON + end + end + end + + assign usb_dp = ug ? up : 1'bZ; + assign usb_dm = ug ? um : 1'bZ; + assign usb_oe = ug; + assign sample = inst_ready & state == S_OPCODE & inst == 4'b1101 & timing == 4; // IN + assign record = connected & ~nak; + assign ukpstb = ~nrzon & ukprdy & (bitadr[2:0] == 3'b100) & (timing == 2); + reg dpi, dmi; + reg ukprdyd; +endmodule + diff --git a/src/usb_gamepad/asukp b/src/usb_gamepad/asukp new file mode 100644 index 0000000..737755b --- /dev/null +++ b/src/usb_gamepad/asukp @@ -0,0 +1,104 @@ +#! /usr/bin/perl +# UKP assembler + +%inst = ("nop" , 0, "ldi" , 1, "start", 2, "out4", 3, + "out0" , 4, "hiz" , 5, "outb" , 6, "ret" , 7, + "bz" , 8, "bc" , 9, "bnak" , 10, "djnz", 11, + "toggle", 12, "vidpid", 12, "in" , 13, "wait" , 14, "jmp", 15 ); + +open(SRC, "ukp.s") || die; +while (&getline) { # calculate all label addresses + if (/^(\w+):/) { + if (defined($label{$1})) { + printf STDERR "$_ already defined\n"; + exit 1; + } + $pc = $pc + 3 & ~3; + $label{$1} = $pc; + printf "pc=%03x\t%s\n", $pc, $1; + } + else { + @_ = split; + unless (defined($inst{$_[0]})) { + printf STDERR "syntax error: $_\n"; + exit 1; + } + $code = $inst{$_[0]}; + if($code==15) { + $pc += 4; + } else { + $pc += $code == 1 || $code >= 8 && $code < 12 || $code == 3 || $code == 6 ? 3 : + $code == 12 ? 2 : 1; + } + } +} +seek(SRC, 0, SEEK_END); +$pc = 0; +open(DST, "> usb_gamepad_rom.v") || die; +select DST; +print <> 4); + } + + elsif ($code >= 8 && $code < 12 || $code == 15) { # jump + unless (defined($label{$_[1]})) { + printf STDERR "$_[1] not defined\n"; + exit 1; + } + $adr = $label{$_[1]} >> 2; + putline($adr & 15); + putline(($adr >> 4) & 15); + if($code == 15) { + putline(($adr >> 8) & 15); + } + } + } +} +close SRC; +print "\t\t\tdefault: data = 4'hX;\n\t\tendcase\n\tend\nendmodule\n"; +close DST; +system("mv ./usb_gamepad_rom.v ../usb_gamepad_rom.v"); +exit 0; + +sub getline { + do { + return 0 unless $_ = ; + chomp; + s/\s*;.*$//; + } while (/^\s*$/); + 1; +} + +sub putline { + printf "\t\t\t10'h%03x: data = 4'h%x;%s\n", $pc++, shift(@_), $scd; +} diff --git a/src/usb_gamepad/ukp.s b/src/usb_gamepad/ukp.s new file mode 100644 index 0000000..cfc8588 --- /dev/null +++ b/src/usb_gamepad/ukp.s @@ -0,0 +1,256 @@ +; USB gamepad firmware for UKP +; By hi631, nand2mario + +cstart: +; ---- interrupt transfer interval (10-1mS) + ldi 9 +cstart2: + wait + bc connected + bz cstart + +; ---- wait 200mS after device attached + ldi 200 +w200ms: + wait + djnz w200ms + +; ---- USB bus reset + out0 + ldi 10 +busrstlp: + wait + djnz busrstlp + hiz + +; ---- 40mS wait + ldi 40 +w40ms: + wait + out4 0x03 + hiz + djnz w40ms + wait + +; nand2mario: see USB device initialization sequence +; https://www.usbmadesimple.co.uk/ums_4.htm +; CRC calculator: https://www.lddgo.net/en/encrypt/crc + +; ---- send set address 1 + jmp setadr1 + hiz + +; ---- recieve + jmp rcvdt + +; ---- send IN(0,0) +sendinlp: + jmp in00 + hiz + +; ---- receive + jmp rcvdt + bnak sendinlp + +; ---- send ACK + jmp sendack + hiz + +; ---- wait 1mS + wait + +; nand2mario: request device descriptor and receive ACK + jmp getdesc + hiz + jmp rcvdt + +; ---- send IN(1,0) and receive 1st half of device descriptor +wait_desc: + jmp in10 + hiz + jmp rcvdt + bnak wait_desc +; send ACK + jmp sendack + hiz + +; request 2nd half of device descriptor +wait_desc2: + jmp in10 + hiz + jmp rcvdt + bnak wait_desc2 + vidpid ; register VID and PID just received +; send ACK + jmp sendack + hiz + +; TODO: need to send a status transaction +; https://www.beyondlogic.org/usbnutshell/usb4.shtml#Control + +; ---- wait 1mS + wait + +; ---- send set configuration 1 + jmp setconfig1 + hiz + +; ---- recieve + jmp rcvdt + +; ---- send IN(1,0) +in10lp: + jmp in10 + hiz + +; ---- recieve + jmp rcvdt + bnak in10lp + +; ---- send ACK + jmp sendack + hiz + toggle + jmp cstart + +; ------------------- +; when connected +; ------------------- +connected: + bz connerr + + out4 0x03 + hiz + djnz cstart2 + wait + +; ---- IN(1,1) (interrupt transfer) + jmp in11 + hiz + +; ---- recieve + jmp rcvdt + bnak cstart + +; ---- send ACK + jmp sendack + hiz + +; ---- jump startf + jmp cstart + +; ---- jupm start(&toggle) +connerr: + toggle + jmp cstart + +; -------------- +; sub +; -------------- +setadr1: + outb 0x80 + outb 0x2d + outb 0x00 + outb 0x10 + out4 0x03 + + outb 0x80 + outb 0xc3 + outb 0x00 + outb 0x05 + outb 0x01 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0xeb + outb 0x25 + out4 0x03 + ret + +getdesc: ; get device descriptor + outb 0x80 ; SYNC + outb 0x2d ; PID + outb 0x01 ; ADDR + ENDP + outb 0xe8 ; + CRC5 + out4 0x03 ; EOP + + outb 0x80 ; SYNC + outb 0xc3 ; PID=DATA0 + outb 0x80 ; bmRequestType: 0 + outb 0x06 ; bRequest=1 Get_Descriptor + outb 0x00 ; Desc Index: 0 + outb 0x01 ; Desc Type: 1 Device + outb 0x00 ; Language ID: 0 + outb 0x00 ; + outb 0x12 ; wLength = 18 + outb 0x00 + outb 0xE0 ; CRC16 + outb 0xF4 + out4 0x03 ; EOP + ret + +setconfig1: + outb 0x80 + outb 0x2d + outb 0x01 + outb 0xe8 + out4 0x03 + + outb 0x80 + outb 0xc3 + outb 0x00 + outb 0x09 + outb 0x01 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0x00 + outb 0x27 + outb 0x25 + out4 0x03 + ret + +rcvdt: + ldi 104 + start + in +rcvdt2: + ldi 2 +rcvdt3: + bz rcvdt2 + djnz rcvdt3 + ret + +in00: + outb 0x80 + outb 0x69 + outb 0x00 + outb 0x10 + out4 0x03 + ret + +in10: + outb 0x80 + outb 0x69 + outb 0x01 + outb 0xe8 + out4 0x03 + ret + +in11: + outb 0x80 + outb 0x69 + outb 0x81 + outb 0x58 + out4 0x03 + ret + +sendack: + outb 0x80 + outb 0xd2 + out4 0x03 + ret +prgend: diff --git a/src/usb_gamepad_rom.v b/src/usb_gamepad_rom.v new file mode 100644 index 0000000..e0378ab --- /dev/null +++ b/src/usb_gamepad_rom.v @@ -0,0 +1,477 @@ +module usb_gamepad_rom(clk, adr, data); + input clk; + input [13:0] adr; + output [3:0] data; + reg [3:0] data; + always @(posedge clk) begin + case (adr) + // cstart: + 10'h000: data = 4'h1; // ldi 9 + 10'h001: data = 4'h9; + 10'h002: data = 4'h0; + 10'h003: data = 4'h0; + // cstart2: + 10'h004: data = 4'he; // wait + 10'h005: data = 4'h9; // bc connected + 10'h006: data = 4'h7; + 10'h007: data = 4'h2; + 10'h008: data = 4'h8; // bz cstart + 10'h009: data = 4'h0; + 10'h00a: data = 4'h0; + 10'h00b: data = 4'h1; // ldi 200 + 10'h00c: data = 4'h8; + 10'h00d: data = 4'hc; + 10'h00e: data = 4'h0; + 10'h00f: data = 4'h0; + // w200ms: + 10'h010: data = 4'he; // wait + 10'h011: data = 4'hb; // djnz w200ms + 10'h012: data = 4'h4; + 10'h013: data = 4'h0; + 10'h014: data = 4'h4; // out0 + 10'h015: data = 4'h1; // ldi 10 + 10'h016: data = 4'ha; + 10'h017: data = 4'h0; + // busrstlp: + 10'h018: data = 4'he; // wait + 10'h019: data = 4'hb; // djnz busrstlp + 10'h01a: data = 4'h6; + 10'h01b: data = 4'h0; + 10'h01c: data = 4'h5; // hiz + 10'h01d: data = 4'h1; // ldi 40 + 10'h01e: data = 4'h8; + 10'h01f: data = 4'h2; + // w40ms: + 10'h020: data = 4'he; // wait + 10'h021: data = 4'h3; // out4 0x03 + 10'h022: data = 4'h3; + 10'h023: data = 4'h0; + 10'h024: data = 4'h5; // hiz + 10'h025: data = 4'hb; // djnz w40ms + 10'h026: data = 4'h8; + 10'h027: data = 4'h0; + 10'h028: data = 4'he; // wait + 10'h029: data = 4'hf; // jmp setadr1 + 10'h02a: data = 4'h1; + 10'h02b: data = 4'h3; + 10'h02c: data = 4'h0; + 10'h02d: data = 4'h5; // hiz + 10'h02e: data = 4'hf; // jmp rcvdt + 10'h02f: data = 4'hb; + 10'h030: data = 4'h5; + 10'h031: data = 4'h0; + 10'h032: data = 4'h0; + 10'h033: data = 4'h0; + // sendinlp: + 10'h034: data = 4'hf; // jmp in00 + 10'h035: data = 4'h0; + 10'h036: data = 4'h6; + 10'h037: data = 4'h0; + 10'h038: data = 4'h5; // hiz + 10'h039: data = 4'hf; // jmp rcvdt + 10'h03a: data = 4'hb; + 10'h03b: data = 4'h5; + 10'h03c: data = 4'h0; + 10'h03d: data = 4'ha; // bnak sendinlp + 10'h03e: data = 4'hd; + 10'h03f: data = 4'h0; + 10'h040: data = 4'hf; // jmp sendack + 10'h041: data = 4'hc; + 10'h042: data = 4'h6; + 10'h043: data = 4'h0; + 10'h044: data = 4'h5; // hiz + 10'h045: data = 4'he; // wait + 10'h046: data = 4'hf; // jmp getdesc + 10'h047: data = 4'hf; + 10'h048: data = 4'h3; + 10'h049: data = 4'h0; + 10'h04a: data = 4'h5; // hiz + 10'h04b: data = 4'hf; // jmp rcvdt + 10'h04c: data = 4'hb; + 10'h04d: data = 4'h5; + 10'h04e: data = 4'h0; + 10'h04f: data = 4'h0; + // wait_desc: + 10'h050: data = 4'hf; // jmp in10 + 10'h051: data = 4'h4; + 10'h052: data = 4'h6; + 10'h053: data = 4'h0; + 10'h054: data = 4'h5; // hiz + 10'h055: data = 4'hf; // jmp rcvdt + 10'h056: data = 4'hb; + 10'h057: data = 4'h5; + 10'h058: data = 4'h0; + 10'h059: data = 4'ha; // bnak wait_desc + 10'h05a: data = 4'h4; + 10'h05b: data = 4'h1; + 10'h05c: data = 4'hf; // jmp sendack + 10'h05d: data = 4'hc; + 10'h05e: data = 4'h6; + 10'h05f: data = 4'h0; + 10'h060: data = 4'h5; // hiz + 10'h061: data = 4'h0; + 10'h062: data = 4'h0; + 10'h063: data = 4'h0; + // wait_desc2: + 10'h064: data = 4'hf; // jmp in10 + 10'h065: data = 4'h4; + 10'h066: data = 4'h6; + 10'h067: data = 4'h0; + 10'h068: data = 4'h5; // hiz + 10'h069: data = 4'hf; // jmp rcvdt + 10'h06a: data = 4'hb; + 10'h06b: data = 4'h5; + 10'h06c: data = 4'h0; + 10'h06d: data = 4'ha; // bnak wait_desc2 + 10'h06e: data = 4'h9; + 10'h06f: data = 4'h1; + 10'h070: data = 4'hc; // vidpid + 10'h071: data = 4'h2; + 10'h072: data = 4'hf; // jmp sendack + 10'h073: data = 4'hc; + 10'h074: data = 4'h6; + 10'h075: data = 4'h0; + 10'h076: data = 4'h5; // hiz + 10'h077: data = 4'he; // wait + 10'h078: data = 4'hf; // jmp setconfig1 + 10'h079: data = 4'hd; + 10'h07a: data = 4'h4; + 10'h07b: data = 4'h0; + 10'h07c: data = 4'h5; // hiz + 10'h07d: data = 4'hf; // jmp rcvdt + 10'h07e: data = 4'hb; + 10'h07f: data = 4'h5; + 10'h080: data = 4'h0; + 10'h081: data = 4'h0; + 10'h082: data = 4'h0; + 10'h083: data = 4'h0; + // in10lp: + 10'h084: data = 4'hf; // jmp in10 + 10'h085: data = 4'h4; + 10'h086: data = 4'h6; + 10'h087: data = 4'h0; + 10'h088: data = 4'h5; // hiz + 10'h089: data = 4'hf; // jmp rcvdt + 10'h08a: data = 4'hb; + 10'h08b: data = 4'h5; + 10'h08c: data = 4'h0; + 10'h08d: data = 4'ha; // bnak in10lp + 10'h08e: data = 4'h1; + 10'h08f: data = 4'h2; + 10'h090: data = 4'hf; // jmp sendack + 10'h091: data = 4'hc; + 10'h092: data = 4'h6; + 10'h093: data = 4'h0; + 10'h094: data = 4'h5; // hiz + 10'h095: data = 4'hc; // toggle + 10'h096: data = 4'h1; + 10'h097: data = 4'hf; // jmp cstart + 10'h098: data = 4'h0; + 10'h099: data = 4'h0; + 10'h09a: data = 4'h0; + 10'h09b: data = 4'h0; + // connected: + 10'h09c: data = 4'h8; // bz connerr + 10'h09d: data = 4'hf; + 10'h09e: data = 4'h2; + 10'h09f: data = 4'h3; // out4 0x03 + 10'h0a0: data = 4'h3; + 10'h0a1: data = 4'h0; + 10'h0a2: data = 4'h5; // hiz + 10'h0a3: data = 4'hb; // djnz cstart2 + 10'h0a4: data = 4'h1; + 10'h0a5: data = 4'h0; + 10'h0a6: data = 4'he; // wait + 10'h0a7: data = 4'hf; // jmp in11 + 10'h0a8: data = 4'h8; + 10'h0a9: data = 4'h6; + 10'h0aa: data = 4'h0; + 10'h0ab: data = 4'h5; // hiz + 10'h0ac: data = 4'hf; // jmp rcvdt + 10'h0ad: data = 4'hb; + 10'h0ae: data = 4'h5; + 10'h0af: data = 4'h0; + 10'h0b0: data = 4'ha; // bnak cstart + 10'h0b1: data = 4'h0; + 10'h0b2: data = 4'h0; + 10'h0b3: data = 4'hf; // jmp sendack + 10'h0b4: data = 4'hc; + 10'h0b5: data = 4'h6; + 10'h0b6: data = 4'h0; + 10'h0b7: data = 4'h5; // hiz + 10'h0b8: data = 4'hf; // jmp cstart + 10'h0b9: data = 4'h0; + 10'h0ba: data = 4'h0; + 10'h0bb: data = 4'h0; + // connerr: + 10'h0bc: data = 4'hc; // toggle + 10'h0bd: data = 4'h1; + 10'h0be: data = 4'hf; // jmp cstart + 10'h0bf: data = 4'h0; + 10'h0c0: data = 4'h0; + 10'h0c1: data = 4'h0; + 10'h0c2: data = 4'h0; + 10'h0c3: data = 4'h0; + // setadr1: + 10'h0c4: data = 4'h6; // outb 0x80 + 10'h0c5: data = 4'h0; + 10'h0c6: data = 4'h8; + 10'h0c7: data = 4'h6; // outb 0x2d + 10'h0c8: data = 4'hd; + 10'h0c9: data = 4'h2; + 10'h0ca: data = 4'h6; // outb 0x00 + 10'h0cb: data = 4'h0; + 10'h0cc: data = 4'h0; + 10'h0cd: data = 4'h6; // outb 0x10 + 10'h0ce: data = 4'h0; + 10'h0cf: data = 4'h1; + 10'h0d0: data = 4'h3; // out4 0x03 + 10'h0d1: data = 4'h3; + 10'h0d2: data = 4'h0; + 10'h0d3: data = 4'h6; // outb 0x80 + 10'h0d4: data = 4'h0; + 10'h0d5: data = 4'h8; + 10'h0d6: data = 4'h6; // outb 0xc3 + 10'h0d7: data = 4'h3; + 10'h0d8: data = 4'hc; + 10'h0d9: data = 4'h6; // outb 0x00 + 10'h0da: data = 4'h0; + 10'h0db: data = 4'h0; + 10'h0dc: data = 4'h6; // outb 0x05 + 10'h0dd: data = 4'h5; + 10'h0de: data = 4'h0; + 10'h0df: data = 4'h6; // outb 0x01 + 10'h0e0: data = 4'h1; + 10'h0e1: data = 4'h0; + 10'h0e2: data = 4'h6; // outb 0x00 + 10'h0e3: data = 4'h0; + 10'h0e4: data = 4'h0; + 10'h0e5: data = 4'h6; // outb 0x00 + 10'h0e6: data = 4'h0; + 10'h0e7: data = 4'h0; + 10'h0e8: data = 4'h6; // outb 0x00 + 10'h0e9: data = 4'h0; + 10'h0ea: data = 4'h0; + 10'h0eb: data = 4'h6; // outb 0x00 + 10'h0ec: data = 4'h0; + 10'h0ed: data = 4'h0; + 10'h0ee: data = 4'h6; // outb 0x00 + 10'h0ef: data = 4'h0; + 10'h0f0: data = 4'h0; + 10'h0f1: data = 4'h6; // outb 0xeb + 10'h0f2: data = 4'hb; + 10'h0f3: data = 4'he; + 10'h0f4: data = 4'h6; // outb 0x25 + 10'h0f5: data = 4'h5; + 10'h0f6: data = 4'h2; + 10'h0f7: data = 4'h3; // out4 0x03 + 10'h0f8: data = 4'h3; + 10'h0f9: data = 4'h0; + 10'h0fa: data = 4'h7; // ret + 10'h0fb: data = 4'h0; + // getdesc: + 10'h0fc: data = 4'h6; // outb 0x80 + 10'h0fd: data = 4'h0; + 10'h0fe: data = 4'h8; + 10'h0ff: data = 4'h6; // outb 0x2d + 10'h100: data = 4'hd; + 10'h101: data = 4'h2; + 10'h102: data = 4'h6; // outb 0x01 + 10'h103: data = 4'h1; + 10'h104: data = 4'h0; + 10'h105: data = 4'h6; // outb 0xe8 + 10'h106: data = 4'h8; + 10'h107: data = 4'he; + 10'h108: data = 4'h3; // out4 0x03 + 10'h109: data = 4'h3; + 10'h10a: data = 4'h0; + 10'h10b: data = 4'h6; // outb 0x80 + 10'h10c: data = 4'h0; + 10'h10d: data = 4'h8; + 10'h10e: data = 4'h6; // outb 0xc3 + 10'h10f: data = 4'h3; + 10'h110: data = 4'hc; + 10'h111: data = 4'h6; // outb 0x80 + 10'h112: data = 4'h0; + 10'h113: data = 4'h8; + 10'h114: data = 4'h6; // outb 0x06 + 10'h115: data = 4'h6; + 10'h116: data = 4'h0; + 10'h117: data = 4'h6; // outb 0x00 + 10'h118: data = 4'h0; + 10'h119: data = 4'h0; + 10'h11a: data = 4'h6; // outb 0x01 + 10'h11b: data = 4'h1; + 10'h11c: data = 4'h0; + 10'h11d: data = 4'h6; // outb 0x00 + 10'h11e: data = 4'h0; + 10'h11f: data = 4'h0; + 10'h120: data = 4'h6; // outb 0x00 + 10'h121: data = 4'h0; + 10'h122: data = 4'h0; + 10'h123: data = 4'h6; // outb 0x12 + 10'h124: data = 4'h2; + 10'h125: data = 4'h1; + 10'h126: data = 4'h6; // outb 0x00 + 10'h127: data = 4'h0; + 10'h128: data = 4'h0; + 10'h129: data = 4'h6; // outb 0xE0 + 10'h12a: data = 4'h0; + 10'h12b: data = 4'he; + 10'h12c: data = 4'h6; // outb 0xF4 + 10'h12d: data = 4'h4; + 10'h12e: data = 4'hf; + 10'h12f: data = 4'h3; // out4 0x03 + 10'h130: data = 4'h3; + 10'h131: data = 4'h0; + 10'h132: data = 4'h7; // ret + 10'h133: data = 4'h0; + // setconfig1: + 10'h134: data = 4'h6; // outb 0x80 + 10'h135: data = 4'h0; + 10'h136: data = 4'h8; + 10'h137: data = 4'h6; // outb 0x2d + 10'h138: data = 4'hd; + 10'h139: data = 4'h2; + 10'h13a: data = 4'h6; // outb 0x01 + 10'h13b: data = 4'h1; + 10'h13c: data = 4'h0; + 10'h13d: data = 4'h6; // outb 0xe8 + 10'h13e: data = 4'h8; + 10'h13f: data = 4'he; + 10'h140: data = 4'h3; // out4 0x03 + 10'h141: data = 4'h3; + 10'h142: data = 4'h0; + 10'h143: data = 4'h6; // outb 0x80 + 10'h144: data = 4'h0; + 10'h145: data = 4'h8; + 10'h146: data = 4'h6; // outb 0xc3 + 10'h147: data = 4'h3; + 10'h148: data = 4'hc; + 10'h149: data = 4'h6; // outb 0x00 + 10'h14a: data = 4'h0; + 10'h14b: data = 4'h0; + 10'h14c: data = 4'h6; // outb 0x09 + 10'h14d: data = 4'h9; + 10'h14e: data = 4'h0; + 10'h14f: data = 4'h6; // outb 0x01 + 10'h150: data = 4'h1; + 10'h151: data = 4'h0; + 10'h152: data = 4'h6; // outb 0x00 + 10'h153: data = 4'h0; + 10'h154: data = 4'h0; + 10'h155: data = 4'h6; // outb 0x00 + 10'h156: data = 4'h0; + 10'h157: data = 4'h0; + 10'h158: data = 4'h6; // outb 0x00 + 10'h159: data = 4'h0; + 10'h15a: data = 4'h0; + 10'h15b: data = 4'h6; // outb 0x00 + 10'h15c: data = 4'h0; + 10'h15d: data = 4'h0; + 10'h15e: data = 4'h6; // outb 0x00 + 10'h15f: data = 4'h0; + 10'h160: data = 4'h0; + 10'h161: data = 4'h6; // outb 0x27 + 10'h162: data = 4'h7; + 10'h163: data = 4'h2; + 10'h164: data = 4'h6; // outb 0x25 + 10'h165: data = 4'h5; + 10'h166: data = 4'h2; + 10'h167: data = 4'h3; // out4 0x03 + 10'h168: data = 4'h3; + 10'h169: data = 4'h0; + 10'h16a: data = 4'h7; // ret + 10'h16b: data = 4'h0; + // rcvdt: + 10'h16c: data = 4'h1; // ldi 104 + 10'h16d: data = 4'h8; + 10'h16e: data = 4'h6; + 10'h16f: data = 4'h2; // start + 10'h170: data = 4'hd; // in + 10'h171: data = 4'h0; + 10'h172: data = 4'h0; + 10'h173: data = 4'h0; + // rcvdt2: + 10'h174: data = 4'h1; // ldi 2 + 10'h175: data = 4'h2; + 10'h176: data = 4'h0; + 10'h177: data = 4'h0; + // rcvdt3: + 10'h178: data = 4'h8; // bz rcvdt2 + 10'h179: data = 4'hd; + 10'h17a: data = 4'h5; + 10'h17b: data = 4'hb; // djnz rcvdt3 + 10'h17c: data = 4'he; + 10'h17d: data = 4'h5; + 10'h17e: data = 4'h7; // ret + 10'h17f: data = 4'h0; + // in00: + 10'h180: data = 4'h6; // outb 0x80 + 10'h181: data = 4'h0; + 10'h182: data = 4'h8; + 10'h183: data = 4'h6; // outb 0x69 + 10'h184: data = 4'h9; + 10'h185: data = 4'h6; + 10'h186: data = 4'h6; // outb 0x00 + 10'h187: data = 4'h0; + 10'h188: data = 4'h0; + 10'h189: data = 4'h6; // outb 0x10 + 10'h18a: data = 4'h0; + 10'h18b: data = 4'h1; + 10'h18c: data = 4'h3; // out4 0x03 + 10'h18d: data = 4'h3; + 10'h18e: data = 4'h0; + 10'h18f: data = 4'h7; // ret + // in10: + 10'h190: data = 4'h6; // outb 0x80 + 10'h191: data = 4'h0; + 10'h192: data = 4'h8; + 10'h193: data = 4'h6; // outb 0x69 + 10'h194: data = 4'h9; + 10'h195: data = 4'h6; + 10'h196: data = 4'h6; // outb 0x01 + 10'h197: data = 4'h1; + 10'h198: data = 4'h0; + 10'h199: data = 4'h6; // outb 0xe8 + 10'h19a: data = 4'h8; + 10'h19b: data = 4'he; + 10'h19c: data = 4'h3; // out4 0x03 + 10'h19d: data = 4'h3; + 10'h19e: data = 4'h0; + 10'h19f: data = 4'h7; // ret + // in11: + 10'h1a0: data = 4'h6; // outb 0x80 + 10'h1a1: data = 4'h0; + 10'h1a2: data = 4'h8; + 10'h1a3: data = 4'h6; // outb 0x69 + 10'h1a4: data = 4'h9; + 10'h1a5: data = 4'h6; + 10'h1a6: data = 4'h6; // outb 0x81 + 10'h1a7: data = 4'h1; + 10'h1a8: data = 4'h8; + 10'h1a9: data = 4'h6; // outb 0x58 + 10'h1aa: data = 4'h8; + 10'h1ab: data = 4'h5; + 10'h1ac: data = 4'h3; // out4 0x03 + 10'h1ad: data = 4'h3; + 10'h1ae: data = 4'h0; + 10'h1af: data = 4'h7; // ret + // sendack: + 10'h1b0: data = 4'h6; // outb 0x80 + 10'h1b1: data = 4'h0; + 10'h1b2: data = 4'h8; + 10'h1b3: data = 4'h6; // outb 0xd2 + 10'h1b4: data = 4'h2; + 10'h1b5: data = 4'hd; + 10'h1b6: data = 4'h3; // out4 0x03 + 10'h1b7: data = 4'h3; + 10'h1b8: data = 4'h0; + 10'h1b9: data = 4'h7; // ret + 10'h1ba: data = 4'h0; + 10'h1bb: data = 4'h0; + // prgend: + default: data = 4'hX; + endcase + end +endmodule diff --git a/tools/crc.py b/tools/crc.py new file mode 100644 index 0000000..2b86a15 --- /dev/null +++ b/tools/crc.py @@ -0,0 +1,57 @@ +#!/usr/bin/python3 + +import sys + +def usage(): + print('USB CRC calculator') + print(' crc.py -5 calculate CRC-5-USB of {byte0[0:7], byte1[0:2]} (11 bits)') + print(' crc.py -16 ... calculcate CRC-16 of these bytes') + print('All bytes should be in hex') + exit(0) + +if len(sys.argv) < 2 or sys.argv[1] != '-5' and sys.argv[1] != '-16': + usage() + +def crc5(data): + # x^5 + x^2 + 1 + polynomial = 0b00101 + # USB crc5 is initialized to all 1 + register = 0b11111 + + if len(data) != 2 and len(data) != 3: + usage() + + for d in range(len(data)): + byte = data[d] + bits = range(8) if d < len(data)-1 else range(3) + # print(bits) + for i in bits: + bit = (byte >> i) & 1 + xor_flag = (register >> 4) & 1 + register = (register << 1) & 0b11111 + if bit ^ xor_flag: + register ^= polynomial + + # USB crc5 is inversed at output time + return 0b11111-register + +def crc16(data): + return 0 + +bs = [] + +for i in range(2, len(sys.argv)): + bs.append(int(sys.argv[i], 16)) + +# bs = [0b10101010, 0b01010101, 0b11110000] +print("data={}".format(bs)) + +if sys.argv[1] == '-5': + # actually printed from MSB to LSB + print("crc5={:05b}".format(crc5(bs))) +else: + print("crc16={}".format(crc16(bs))) + + + +